Functional test generation for delay faults in combinational circuits

Irith Pomeranz, Sudhakar M. Reddy. Functional test generation for delay faults in combinational circuits. ACM Trans. Design Autom. Electr. Syst., 3(2):231-248, 1998. [doi]

Authors

Irith Pomeranz

This author has not been identified. Look up 'Irith Pomeranz' in Google

Sudhakar M. Reddy

This author has not been identified. Look up 'Sudhakar M. Reddy' in Google