Fuzzy-Controlled Voltage Scaling Based on Supply Current Tracking

Hamid Reza Pourshaghaghi, José Pineda de Gyvez. Fuzzy-Controlled Voltage Scaling Based on Supply Current Tracking. IEEE Transactions on Computers, 62(12):2397-2410, 2013. [doi]

Abstract

Abstract is missing.