Reducing clock skew variability via cross links

Anand Rajaram, Jiang Hu, Rabi N. Mahapatra. Reducing clock skew variability via cross links. In Sharad Malik, Limor Fix, Andrew B. Kahng, editors, Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004. pages 18-23, ACM, 2004. [doi]

@inproceedings{RajaramHM04,
  title = {Reducing clock skew variability via cross links},
  author = {Anand Rajaram and Jiang Hu and Rabi N. Mahapatra},
  year = {2004},
  doi = {10.1145/996566.996574},
  url = {http://doi.acm.org/10.1145/996566.996574},
  researchr = {https://researchr.org/publication/RajaramHM04},
  cites = {0},
  citedby = {0},
  pages = {18-23},
  booktitle = {Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004},
  editor = {Sharad Malik and Limor Fix and Andrew B. Kahng},
  publisher = {ACM},
  isbn = {1-58113-828-8},
}