Ravel-XL: A Hardware Accelerator for Assigned-Delay Compiled-Code Logic Gate Simulation

Michael A. Riepe, João P. Marques Silva, Karem A. Sakallah, Richard B. Brown. Ravel-XL: A Hardware Accelerator for Assigned-Delay Compiled-Code Logic Gate Simulation. In ICCD. pages 361-364, 1993.

Abstract

Abstract is missing.