Ultra low-cost defect protection for microprocessor pipelines

Smitha Shyam, Kypros Constantinides, Sujay Phadke, Valeria Bertacco, Todd M. Austin. Ultra low-cost defect protection for microprocessor pipelines. In John Paul Shen, Margaret Martonosi, editors, Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2006, San Jose, CA, USA, October 21-25, 2006. pages 73-82, ACM, 2006. [doi]

Abstract

Abstract is missing.