Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire

Yuhao Wang, Hao Yu, Dennis Sylvester, Pingfan Kong. Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire. In Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014. pages 1-4, IEEE, 2014. [doi]

References

No references recorded for this publication.

Cited by

No citations of this publication recorded.