Energy-Efficient DNN Computing on GPUs Through Register File Management

Xin Wang, Wei Zhang. Energy-Efficient DNN Computing on GPUs Through Register File Management. In 2018 IEEE High Performance Extreme Computing Conference, HPEC 2018, Waltham, MA, USA, September 25-27, 2018. pages 1-7, IEEE, 2018. [doi]

Abstract

Abstract is missing.