CDS-RSRAM: a Reconfigurable SRAM Architecture to Reduce Read Power with Column Data Segmentation

Han Xu, Ziru Li, Fei Qiao, Qi Wei 0001, Xinjun Liu, Huazhong Yang. CDS-RSRAM: a Reconfigurable SRAM Architecture to Reduce Read Power with Column Data Segmentation. In 21st International Symposium on Quality Electronic Design, ISQED 2020, Santa Clara, CA, USA, March 25-26, 2020. pages 52-59, IEEE, 2020. [doi]

Abstract

Abstract is missing.