Learning-based power management for multi-core processors via idle period manipulation

Rong Ye, Qiang Xu. Learning-based power management for multi-core processors via idle period manipulation. In Proceedings of the 17th Asia and South Pacific Design Automation Conference, ASP-DAC 2012, Sydney, Australia, January 30 - February 2, 2012. pages 115-120, IEEE, 2012. [doi]

Abstract

Abstract is missing.