Journal: IEEE Micro

Volume 26, Issue 6

8 -- 9Pradip Bose. Looking briefly back, and then forward..
12 -- 13Shane Greenstein. Four nightmares for net neutrality
14 -- 28Daniel Gracia Pérez, Hugues Berry, Olivier Temam. A Sampling Method Focusing on Practicality
30 -- 39Osman S. Unsal, James Tschanz, Keith A. Bowman, Vivek De, Xavier Vera, Antonio González, Oguz Ergin. Impact of Parameter Variations on Circuits and Microarchitecture
40 -- 52Rajeev Balasubramonian, Naveen Muralimanohar, Karthik Ramani, Liqun Cheng, John B. Carter. Leveraging Wire Properties at the Microarchitecture Level
70 -- 72Philip G. Emma. Five strategies for overcoming obviousness

Volume 26, Issue 5

0 -- 0Philip G. Emma. Prosecuting your patent
5 -- 6Pradip Bose. Designing reliable systems with unreliable components
7 -- 8Shane Greenstein. Ubiquitous clicks and complements
9 -- 0Richard Stern. New Jersey federal court holds Qualcomm s unFRANDly acts no antitrust violation
10 -- 18Egas Henes Neto, Ivandro Ribeiro, Michele G. Vieira, Gilson I. Wirth, Fernanda Lima Kastensmidt. Using Bulk Built-in Current Sensors to Detect Soft Errors
19 -- 27Kundan Nepal, R. Iris Bahar, Joseph L. Mundy, William R. Patterson, Alexander Zaslavsky. MRF Reinforcer: A Probabilistic Element for Space Redundancy in Nanoscale Circuits
28 -- 40Radu Teodorescu, Jun Nakano, Josep Torrellas. SWICH: A Prototype for Efficient Cache-Level Checkpointing and Rollback
42 -- 51Ricardo E. Gonzalez. A Software-Configurable Processor Architecture
52 -- 66Pedro Javier García, Francisco J. Quiles, Jose Flich, José Duato, Ian Johnson, Finbar Naven. Efficient, Scalable Congestion Management for Interconnection Networks
67 -- 81Valentina Salapura, Robert Walkup, Alan Gara. Exploiting Workload Parallelism for Performance and Power Optimization in Blue Gene
82 -- 83Richard Mateosian. So many books

Volume 26, Issue 4

0 -- 0Philip G. Emma. The Mechanics of Filing a Patent
0 -- 0Shane Greenstein. Legislating Entrepreneurship: An Oxymoron?
3 -- 0Pradip Bose. Pre-Silicon Modeling and Analysis: Impact On Real Design
5 -- 7Timothy Sherwood, Joshua J. Yi. Guest Editors Introduction: Computer Architecture Simulation and Modeling
8 -- 17Alaa R. Alameldeen, David A. Wood. IPC Considered Harmful for Multiprocessor Workloads
18 -- 31Thomas F. Wenisch, Roland E. Wunderlich, Michael Ferdman, Anastassia Ailamaki, Babak Falsafi, James C. Hoe. SimFlex: Statistical Sampling of Computer System Simulation
32 -- 42Michael Van Biesbrouck, Brad Calder, Lieven Eeckhout. Efficient Sampling Startup for SimPoint
43 -- 51Sudhanva Gurumurthi, Youngjae Kim, Anand Sivasubramaniam. Using STEAM for Thermal Simulation of Storage Systems
52 -- 60Nathan L. Binkert, Ronald G. Dreslinski, Lisa R. Hsu, Kevin T. Lim, Ali G. Saidi, Steven K. Reinhardt. The M5 Simulator: Modeling Networked Systems
62 -- 71Yong-Joon Park, Zhao Zhang, Gyungho Lee. Microarchitectural Protection Against Stack-Based Buffer Overflow Attacks
72 -- 82Jesús Alastruey, José Luis Briz, Pablo Ibáñez, Víctor Viñals. Software Demand, Hardware Supply
83 -- 85Richard Mateosian. Old and New

Volume 26, Issue 3

0 -- 0Shane Greenstein. Room for a Thousand Flowers to Bloom
5 -- 0Pradip Bose. Robust On-Chip Communication
7 -- 9Fabrizio Petrini, Olav Lysne, Ron Brightwell. Guest Editors Introduction: High-Performance Interconnects
10 -- 23Michael Kistler, Michael Perrone, Fabrizio Petrini. Cell Multiprocessor Communication Network: Built for Speed
24 -- 40Pavan Balaji, Wu-chun Feng, Dhabaleswar K. Panda. Bridging the Ethernet-Ethernot Performance Gap
41 -- 57Ron Brightwell, Kevin T. Pedretti, Keith D. Underwood, Trammell Hudson. SeaStar Interconnect: Balanced Bandwidth for Scalable Performance
58 -- 71Cyriel Minkenberg, François Abel, Peter Müller, Raj Krishnamurthy, Mitchell Gusat, Peter Dill, Ilias Iliadis, Ronald P. Luijten, B. Roe Hemenway, Richard Grzybowski, Enrico Schiattarella. Designing a Crossbar Scheduler for HPC Applications
72 -- 84Li Zhao, Yan Luo, Laxmi N. Bhuyan, Ravi R. Iyer. A Network Processor-Based, Content-Aware Switch
86 -- 87Richard Mateosian. More on Old Topics
88 -- 90Richard Stern. Court Dismisses Copyright Champion s Source Code Copyright Suit
94 -- 96Philip G. Emma. Patent Claims Revisited: Examiners and Trolls

Volume 26, Issue 2

5 -- 6Pradip Bose. Workload characterization: A key aspect of microarchitecture design
7 -- 0Shane Greenstein. Andy s acceleration and Moore s momentum
8 -- 9John Sell, Alan Jay Smith. Guest Editors Introduction: Hot Chips 17
10 -- 24Michael Gschwind, H. Peter Hofstee, Brian K. Flachs, Martin Hopkins, Yukio Watanabe, Takeshi Yamazaki. Synergistic Processing in Cell s Multicore Architecture
25 -- 37. Xbox 360 System Architecture
38 -- 47Boris Murmann. Digitally Assisted Analog Circuits
48 -- 57Volker Lindenstruth. An Extreme Processor for an Extreme Experiment
58 -- 66Cary Gunn. CMOS Photonics for High-Speed Interconnects
68 -- 80Amit Agarwal, Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy, Chris H. Kim. Leakage Power Analysis and Reduction for Nanoscale Circuits
83 -- 84Philip G. Emma. The best patents of all

Volume 26, Issue 1

0 -- 0Shane Greenstein. Format wars all over again
5 -- 6Pradip Bose. Measuring the impact of microarchitectural ideas
8 -- 9Josep Torrellas. Guest Editor s Introduction: Micro s Top Picks from Microarchitecture Conferences
10 -- 20Onur Mutlu, Hyesoon Kim, Yale N. Patt. Efficient Runahead Execution: Power-Efficient Memory Latency Tolerance
22 -- 29Ibrahim Hur, Calvin Lin. Adaptive History-Based Memory Schedulers for Modern Processors
30 -- 39Amit Gandhi, Haitham Akkary, Ravi Rajwar, Srikanth T. Srinivasan, Konrad Lai. Scalable Load and Store Processing in Latency-Tolerant Processors
40 -- 47Ronald D. Barnes, Shane Ryoo, Wen-mei W. Hwu. Tolerating Cache-Miss Latency with Multipass Pipelines
48 -- 58Hyesoon Kim, Onur Mutlu, Yale N. Patt, Jared Stark. Wish Branches: Enabling Adaptive and Aggressive Predicated Execution
59 -- 69C. Scott Ananian, Krste Asanovic, Bradley C. Kuszmaul, Charles E. Leiserson, Sean Lie. Unbounded Transactional Memory
70 -- 79Jason F. Cantin, James E. Smith, Mikko H. Lipasti, Andreas Moshovos, Babak Falsafi. Coarse-Grain Coherence Tracking: RegionScout and Region Coherence Arrays
80 -- 91Jose Renau, Karin Strauss, Luis Ceze, Wei Liu, Smruti R. Sarangi, James Tuck, Josep Torrellas. Energy-Efficient Thread-Level Speculation
92 -- 99Mohamed A. Gomaa, T. N. Vijaykumar. Opportunistic Transient-Fault Detection
100 -- 109Satish Narayanasamy, Gilles Pokam, Brad Calder. BugNet: Recording Application-Level Execution for Deterministic Replay Debugging
110 -- 117Lin Tan, Timothy Sherwood. Architectures for Bit-Split String Scanning in Intrusion Detection
119 -- 129Qiang Wu, Margaret Martonosi, Douglas W. Clark, Vijay Janapa Reddi, Dan Connors, Youfeng Wu, Jin Lee, David Brooks. Dynamic-Compiler-Driven Control for Microprocessor Energy and Performance
130 -- 139Li Shang, Li-Shiuan Peh, Amit Kumar 0002, Niraj K. Jha. Temperature-Aware On-Chip Networks
141 -- 142Richard Mateosian. The future will soon be here
144 -- 0Philip G. Emma. How to write a patent