Journal: IEEE Micro

Volume 28, Issue 6

2 -- 3Shane M. Greenstein. Chicken Little Predictions
4 -- 6Philip G. Emma. Guest Editor s Introduction: Existential Architectures: The Metaphysics of Computer Design
7 -- 18Constantin Pistol, Chris Dwyer, Alvin R. Lebeck. Nanoscale Optical Computing Using Resonance Energy Transfer Logic
20 -- 36Zhanpeng Jin, Allen C. Cheng. Evolutionary Benchmark Subsetting
37 -- 46Jaume Abella, Xavier Vera, Osman S. Unsal, Oguz Ergin, Antonio González, James W. Tschanz. Refueling: Preventing Wire Degradation due to Electromigration
47 -- 56Philip G. Emma, William R. Reohr, Mesut Meterelliyoz. Rethinking Refresh: Increasing Availability and Reducing Power in DRAM for Cache Applications
57 -- 54Richard Stern. An Update on Exhaustion - Supreme Court Decides Quanta Case
64 -- 0Richard Stern. Micro News

Volume 28, Issue 5

4 -- 0David H. Albonesi. Changes Ahead
6 -- 7Shane M. Greenstein. Slouching Toward a Dystopian Internet
8 -- 21Eric Li, Wenlong Li, Xiaofeng Tong, Jianguo Li, Yurong Chen, Tao Wang, Patricia P. Wang, Wei Hu, Yangzhou Du, Yimin Zhang, Yen-Kuang Chen. Accelerating Video-Mining Applications Using Many Small, General-Purpose Cores
22 -- 36Avinash Karanth Kodi, Ahmed Louri. Optisim: A System Simulation Methodology for Optically Interconnected HPC Systems
38 -- 52Shubhajit Roy Chowdhury, Hiranmay Saha. A High-Performance FPGA-Based Fuzzy Processor Architecture for Medical Diagnosis
54 -- 62Junji Sakai, Hiroaki Inoue, Sunao Torii, Masato Edahiro. Multitasking Parallel Method for High-End Embedded Appliances
64 -- 70Richard Stern. What Kinds of Computer-Software-Related Advances (if Any) Are Eligible for Patents? Part II: The Useful Arts Requirement
71 -- 72Richard Mateosian. Software Development Patterns

Volume 28, Issue 4

2 -- 3Shane M. Greenstein. Voting and Economic Asymmetry
4 -- 12Sanjay J. Patel, Wen-mei W. Hwu. Guest Editors Introduction: Accelerator Architectures
13 -- 27Michael Garland, Scott Le Grand, John Nickolls, Joshua Anderson, Jim Hardwick, Scott Morton, Everett Phillips, Yao Zhang, Vasily Volkov. Parallel Computing Experiences with CUDA
28 -- 40Dong Hyuk Woo, Hsien-Hsin S. Lee, Joshua B. Fryman, Allan D. Knies, Marsha Eng. POD: A 3D-Integrated Broad-Purpose Acceleration Layer
41 -- 50Bruno Bougard, Bjorn De Sutter, Diederik Verkest, Liesbet Van der Perre, Rudy Lauwereins. A Coarse-Grained Array Accelerator for Software-Defined Radio Baseband Processing
51 -- 70Mei Wen, Nan Wu, Chunyuan Zhang, Qianming Yang, Ju Ren, Yi He, Wei Wu, Jun Chai, Maolin Guan, Changqing Xun. On-Chip Memory System Optimization Design for the FT64 Scientific Stream Accelerator
71 -- 91Zhanpeng Jin, Allen C. Cheng. ImplantBench: Characterizing and Projecting Representative Benchmarks for Emerging Bioimplantable Computing
91 -- 96Richard Stern. What Kinds of Computer-Software-Related Advances (if Any) Are Eligible for Patents? Part I

Volume 28, Issue 3

2 -- 5Sangyeun Cho, Tao Li, Onur Mutlu. Guest Editors Introduction: Interaction of Many-Core Computer Architecture and Operating Systems
6 -- 16Kyle J. Nesbit, Miquel Moretó, Francisco J. Cazorla, Alex Ramírez, Mateo Valero, James E. Smith. Multicore Resource Management
17 -- 25Fred A. Bower, Daniel J. Sorin, Landon P. Cox. The Impact of Dynamically Heterogeneous Multicore Processors on Thread Scheduling
26 -- 41Jeffrey C. Mogul, Jayaram Mudigonda, Nathan L. Binkert, Parthasarathy Ranganathan, Vanish Talwar. Using Asymmetric Single-ISA CMPs to Save Energy on Operating Systems
42 -- 53Stijn Eyerman, Lieven Eeckhout. System-Level Performance Metrics for Multiprogram Workloads
54 -- 66Rob C. Knauerhase, Paul Brett, Barbara Hohlt, Tong Li, Scott Hahn. Using OS Observations to Improve Performance in Multicore Systems
70 -- 72Richard Stern. AAI Asks FTC to Investigate RAND Issues Concerning Digital TV Standard

Volume 28, Issue 2

2 -- 5Shane Greenstein. The Long Arc Behind Bill Gates Wealth, Part 2
7 -- 9Raj Amirtharajah, John R. Mashey. Guest Editors Introduction: Hot Chips 19
10 -- 18Jonathan Owen, Maurice Steinman. Northbridge Architecture of AMD s Griffin Microprocessor Family
19 -- 29Charles F. Webb. IBM z10: The Next-Generation Mainframe Microprocessor
30 -- 38Kevin Reick, Pia N. Sanda, Scott B. Swaney, Jeffrey W. Kellington, Michael J. Mack, Michael S. Floyd, Daniel Henderson. Fault-Tolerant Design of the IBM Power6 Microprocessor
39 -- 55Erik Lindholm, John Nickolls, Stuart F. Oberman, John Montrym. NVIDIA Tesla: A Unified Graphics and Computing Architecture
56 -- 64Jeffrey M. Gilbert, Chinh H. Doan, Sohrab Emami, C. Bernard Shung. A 4-Gbps Uncompressed Wireless HD A/V Transceiver Chipset
66 -- 69Richard Stern. FTC Sues N-Data for Violating Standards Commitment to IEEE
70 -- 72Richard Mateosian. The Paradigms, They Are A-Changin

Volume 28, Issue 1

4 -- 7Shane Greenstein. The Long Arc Behind Bill Gates Wealth
8 -- 11Sarita V. Adve, David Brooks, Craig B. Zilles. Guest Editors Introduction: Top Picks from the Computer Architecture Conferences of 2007
12 -- 20Matthew J. Bridges, Neil Vachharajani, Yun Zhang, Thomas Jablin, David I. August. Revisiting the Sequential Programming Model for the Multicore Era
21 -- 31Naveen Neelakantam, Ravi Rajwar, Suresh Srinivas, Uma Srinivasan, Craig B. Zilles. Hardware Atomicity: An Effective Abstraction for Reliable Software Speculation
32 -- 41Jayaram Bobba, Kevin E. Moore, Haris Volos, Luke Yen, Mark D. Hill, Michael M. Swift, David A. Wood. Performance Pathologies in Hardware Transactional Memory
42 -- 51Hany E. Ramadan, Christopher J. Rossbach, Donald E. Porter, Owen S. Hofmann, Bhandari Aditya, Emmett Witchel. MetaTM/TxLinux: Transactional Memory for an Operating System
52 -- 59Albert Meixner, Michael E. Bauer, Daniel J. Sorin. Argus: Low-Cost, Comprehensive Error Detection in Simple Cores
60 -- 68Xiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David Brooks. Replacing 6T SRAMs with 3T1D DRAMs in the L1 Data Cache to Combat Process Variability
69 -- 79Naveen Muralimanohar, Rajeev Balasubramonian, Norman P. Jouppi. Architecting Efficient Interconnects for Large Caches with CACTI 6.0
80 -- 90Amit Kumar 0002, Li-Shiuan Peh, Partha Kundu, Niraj K. Jha. Toward Ideal On-Chip Communication Using Express Virtual Channels
91 -- 98Moinuddin K. Qureshi, Aamer Jaleel, Yale N. Patt, Simon C. Steely Jr., Joel S. Emer. Set-Dueling-Controlled Adaptive Insertion for High-Performance Caching
99 -- 109Michael R. Marty, Mark D. Hill. Virtual Hierarchies
110 -- 112Philip G. Emma. A Collaborative IP-Development Session