Journal: TACO

Volume 13, Issue 4

0 -- 0Marvin Damschen, Lars Bauer, Jörg Henkel. Extending the WCET Problem to Optimize for Runtime-Reconfigurable Processors
0 -- 0Qingchuan Shi, George Kurian, Farrukh Hijaz, Srinivas Devadas, Omer Khan. LDAC: Locality-Aware Data Access Control for Large-Scale Multicore Cache Hierarchies
0 -- 0Hyukwoo Park, Myungsu Cha, Soo-Mook Moon. Concurrent JavaScript Parsing for Faster Loading of Web Apps
0 -- 0Junwhan Ahn, Sungjoo Yoo, Kiyoung Choi. AIM: Energy-Efficient Aggregation Inside the Memory Hierarchy
0 -- 0Dongliang Xiong, Kai Huang, Xiaowen Jiang, Xiaolang Yan. Memory Access Scheduling Based on Dynamic Multilevel Priority in Shared DRAM Systems
0 -- 0Saurav Muralidharan, Michael Garland, Albert Sidelnik, Mary W. Hall. Designing a Tunable Nested Data-Parallel Programming System
0 -- 0Nikolaos Tampouratzis, Pavlos M. Mattheakis, Ioannis Papaefstathiou. Accelerating Intercommunication in Highly Parallel Systems
0 -- 0Yunquan Zhang, Shigang Li 0002, Shengen Yan, Huiyang Zhou. A Cross-Platform SpMV Framework on Many-Core Architectures
0 -- 0Erik Tomusk, Christophe Dubach, Michael F. P. O'Boyle. Selecting Heterogeneous Cores for Diversity
0 -- 0Daniele De Sensi, Massimo Torquati, Marco Danelutto. A Reconfiguration Algorithm for Power-Aware Parallel Applications
0 -- 0Tom Spink, Harry Wagstaff, Björn Franke. Hardware-Accelerated Cross-Architecture Full-System Virtualization
0 -- 0Keval Vora, Rajiv Gupta, Guoqing (Harry) Xu. Synergistic Analysis of Evolving Graphs
0 -- 0Tiago M. Vale, João A. Silva, Ricardo J. Dias, João M. Lourenço. Pot: Deterministic Transactional Execution
0 -- 0Yigit Demir, Nikos Hardavellas. Energy-Proportional Photonic Interconnects
0 -- 0Pierre Michaud. Some Mathematical Facts About Optimal Cache Replacement
0 -- 0Alberto Scolari, Davide Basilio Bartolini, Marco Domenico Santambrogio. A Software Cache Partitioning System for Hash-Based Caches
0 -- 0Jawad Haj-Yihia, Ahmad Yasin, Yosi Ben-Asher, Avi Mendelson. Fine-Grain Power Breakdown of Modern Out-of-Order Cores and Its Implications on Skylake-Based Systems
0 -- 0Amir Kavyan Ziabari, Yifan Sun, Yenai Ma, Dana Schaa, José L. Abellán, Rafael Ubal, John Kim, Ajay Joshi, David R. Kaeli. UMH: A Hardware-Based Unified Memory Hierarchy for Systems with Multiple Discrete GPUs
0 -- 0Wenlei Bao, Changwan Hong, Sudheer Chunduri, Sriram Krishnamoorthy, Louis-Noël Pouchet, Fabrice Rastello, P. Sadayappan. Static and Dynamic Frequency Scaling on Multicore CPUs
0 -- 0Zhonghai Lu, Yuan Yao. Aggregate Flow-Based Performance Fairness in CMPs
0 -- 0Zheng Li, Fang Wang, Dan Feng, Yu Hua, Jingning Liu, Wei Tong. MaxPB: Accelerating PCM Write by Maximizing the Power Budget Utilization
0 -- 0Ismail Akturk, Riad Akram, Mohammad Majharul Islam, Abdullah Muzahid, Ulya R. Karpuzcu. Accuracy Bugs: A New Class of Concurrency Bugs to Exploit Algorithmic Noise Tolerance
0 -- 0Saumay Dublish, Vijay Nagarajan, Nigel Topham. Cooperative Caching for GPUs
0 -- 0Mehmet Can Kurt, Sriram Krishnamoorthy, Gagan Agrawal, Bin Ren. User-Assisted Store Recycling for Dynamic Task Graph Schedulers
0 -- 0Michael R. Jantz, Forrest J. Robinson, Prasad A. Kulkarni. Impact of Intrinsic Profiling Limitations on Effectiveness of Adaptive Optimizations
0 -- 0Fernando Fernandes, Lucas Weigel, Claudio Jung, Philippe O. A. Navaux, Luigi Carro, Paolo Rech. Evaluation of Histogram of Oriented Gradients Soft Errors Criticality for Automotive Applications

Volume 13, Issue 3

23 -- 0Sanyam Mehta, Pen-Chung Yew. Variable Liberalization
24 -- 0Hsing-Min Chen, Carole-Jean Wu, Trevor N. Mudge, Chaitali Chakrabarti. RATT-ECC: Rate Adaptive Two-Tiered Error Correction Codes for Reliable 3D Die-Stacked Memory
25 -- 0Wenjie Chen, Zhibin Wang, Qin Wu, Jiuzhen Liang, ZhiLei Chai. Implementing Dense Optical Flow Computation on a Heterogeneous FPGA SoC in C
26 -- 0Nilay Vaish, Michael C. Ferris, David A. Wood. Optimization Models for Three On-Chip Network Problems
27 -- 0Somayeh Sardashti, André Seznec, David A. Wood. Yet Another Compressed Cache: A Low-Cost Yet Effective Compressed Cache
28 -- 0Eduardo H. M. Cruz, Matthias Diener, Laércio Lima Pilla, Philippe O. A. Navaux. Hardware-Assisted Thread and Data Mapping in Hierarchical Multicore Architectures
29 -- 0Almutaz Adileh, Stijn Eyerman, Aamer Jaleel, Lieven Eeckhout. Maximizing Heterogeneous Processor Performance Under Power Constraints
30 -- 0Bagus Wibowo, Abhinav Agrawal, Thomas Stanton, James Tuck. An Accurate Cross-Layer Approach for Online Architectural Vulnerability Estimation
31 -- 0Manuel E. Acacio. List of Distinguished Reviewers ACM TACO 2014

Volume 13, Issue 2

15 -- 0Panagiotis Theocharis, Bjorn De Sutter. A Bimodal Scheduler for Coarse-Grained Reconfigurable Arrays
16 -- 0Ahmad Anbar, Olivier Serres, Engin Kayraklioglu, Abdel-Hameed A. Badawy, Tarek A. El-Ghazawi. Exploiting Hierarchical Locality in Deep Parallel Architectures
17 -- 0Cecilia González-Alvarez, Jennifer B. Sartor, Carlos Álvarez, Daniel Jiménez-González, Lieven Eeckhout. MInGLE: An Efficient Framework for Domain Acceleration Using Low-Power Specialized Functional Units
18 -- 0Christian Andreetta, Vivien Bégot, Jost Berthold, Martin Elsman, Fritz Henglein, Troels Henriksen, Maj-Britt Nordfang, Cosmin E. Oancea. FinPar: A Parallel Financial Benchmark
19 -- 0Mickaël Dardaillon, Kevin Marquet, Tanguy Risset, Jérôme Martin, Henri-Pierre Charles. A New Compilation Flow for Software-Defined Radio Applications on Heterogeneous MPSoCs
20 -- 0Jianwei Liao, François Trahay, Guoqiang Xiao. Dynamic Process Migration Based on Block Access Patterns Occurring in Storage Servers
21 -- 0Amir Hossein Ashouri, Giovanni Mariani, Gianluca Palermo, Eunjung Park, John Cavazos, Cristina Silvano. COBAYN: Compiler Autotuning Framework Using Bayesian Networks
22 -- 0Kypros Chrysanthou, Panayiotis Englezakis, Andreas Prodromou, Andreas Panteli, Chrysostomos Nicopoulos, Yiannakis Sazeides, Giorgos Dimitrakopoulos. An Online and Real-Time Fault Detection and Localization Mechanism for Network-on-Chip Architectures

Volume 13, Issue 1

1 -- 0Konstantinos Koukos, Alberto Ros, Erik Hagersten, Stefanos Kaxiras. Building Heterogeneous Unified Virtual Memories (UVMs) without the Overhead
2 -- 0Zhigang Wang, Xiaolin Wang, Fang Hou, Yingwei Luo, Zhenlin Wang. Dynamic Memory Balancing for Virtualization
3 -- 0Xueyang Wang, Sek Chai, Michael A. Isnardi, Sehoon Lim, Ramesh Karri. Hardware Performance Counter-Based Malware Identification and Detection with Adaptive Compressive Sensing
4 -- 0Shoaib Akram, Jennifer B. Sartor, Kenzo Van Craeynest, Wim Heirman, Lieven Eeckhout. Boosting the Priority of Garbage: Scheduling Collection on Heterogeneous Multicore Processors
5 -- 0Buse Yilmaz, Baris Aktemur, María Jesús Garzarán, Samuel N. Kamin, Furkan Kiraç. Autotuning Runtime Specialization for Sparse Matrix-Vector Multiplication
6 -- 0Mingzhou Zhou, Bo Wu, Xipeng Shen, Yaoqing Gao, Graham Yiu. Examining and Reducing the Influence of Sampling Errors on Feedback-Driven Optimizations
7 -- 0Amanieu D'Antras, Cosmin Gorgovan, Jim D. Garside, Mikel Luján. Optimizing Indirect Branches in Dynamic Binary Translators
8 -- 0Luiz G. A. Martins, Ricardo Nobre, João M. P. Cardoso, Alexandre C. B. Delbem, Eduardo Marques. Clustering-Based Selection for the Exploration of Compiler Optimization Sequences
9 -- 0Sang Wook Stephen Do, Michel Dubois. Power Efficient Hardware Transactional Memory: Dynamic Issue of Transactions
10 -- 0Dmitry Evtyushkin, Dmitry Ponomarev, Nael B. Abu-Ghazaleh. Understanding and Mitigating Covert Channels Through Branch Predictors
11 -- 0Hao Zhou, Jingling Xue. A Compiler Approach for Exploiting Partial SIMD Parallelism
12 -- 0Gert-Jan van den Braak, Henk Corporaal. R-GPU: A Reconfigurable GPU Architecture
13 -- 0Peng Liu 0016, Jiyang Yu, Michael C. Huang. Thread-Aware Adaptive Prefetcher on Multicore Systems: Improving the Performance for Multithreaded Workloads
14 -- 0Cosmin Gorgovan, Amanieu D'Antras, Mikel Luján. MAMBO: A Low-Overhead Dynamic Binary Modification Tool for ARM