Journal: IEEE Trans. on Circuits and Systems

Volume 65-I, Issue 11

3605 -- 0Elena Blokhina. Guest Editorial Special Issue on the 2018 International Symposium on Integrated Circuits and Systems
3606 -- 3616Yan Zhu 0001, Chi-Hang Chan, Zi-Hao Zheng, Cheng Li 0010, Jianyu Zhong, Rui P. Martins. 2 10 b 2.3 GS/s 12-Way Time-Interleaved Pipelined-SAR ADC in 65-nm CMOS
3617 -- 3627Min-Jae Seo, Dong-Hwan Jin, Ye-Dam Kim, Sun-Il Hwang, Jong Pal Kim, Seung-Tak Ryu. A 18.5 nW 12-bit 1-kS/s Reset-Energy Saving SAR ADC for Bio-Signal Acquisition in 0.18-µm CMOS
3628 -- 3638Sohail Asghar, Sohaib Saadat Afridi, Anu Pillai, Anita Schuler, José M. de la Rosa, Ivan O'Connell. A 2-MS/s, 11.22 ENOB, Extended Input Range SAR ADC With Improved DNL and Offset Calculation
3639 -- 3650Hongshuai Zhang, Hong Zhang 0009, Quan Sun, Jijun Li, Xipeng Liu, Ruizhi Zhang. A 0.6-V 10-bit 200-kS/s SAR ADC With Higher Side-Reset-and-Set Switching Scheme and Hybrid CAP-MOS DAC
3651 -- 3661R. S. Ashwin Kumar, Debasish Behera, Nagendra Krishnapura. Reset-Free Memoryless Delta-Sigma Analog-to-Digital Conversion
3662 -- 3674João L. A. de Melo, Nuno Paulino, João Goes. Continuous-Time Delta-Sigma Modulators Based on Passive RC Integrators
3675 -- 3687Mohammad Honarparvar, José M. de la Rosa, Mohamad Sawan. A 0.9-V 100-µW Feedforward Adder-Less Inverter-Based MASH ΔΣ Modulator With 91-dB Dynamic Range and 20-kHz Bandwidth
3688 -- 3697Dong-Kyu Jung, Yun-Hwan Jung, Taegeun Yoo, Dong Hyun Yoon, Bo-Yun Jung, Tony Tae-Hyoung Kim, Kwang-Hyun Baek. A 12-bit Multi-Channel R-R DAC Using a Shared Resistor String Scheme for Area-Efficient Display Source Driver
3698 -- 3706Hua Fan, Dagang Li, Kelin Zhang, Yuanjun Cen, Quanyuan Feng, Fei Qiao, Hadi Heidari. A 4-Channel 12-Bit High-Voltage Radiation-Hardened Digital-to-Analog Converter for Low Orbit Satellite Applications
3707 -- 3719Guan-Cheng Wang, Cheng Li 0010, Yan Zhu 0001, Jianyu Zhong, Yan Lu 0002, Chi-Hang Chan, Rui P. Martins. Missing-Code-Occurrence Probability Calibration Technique for DAC Nonlinearity With Supply and Reference Circuit Analysis in a SAR ADC
3720 -- 3733Sami Ur Rehman, Mohammad Mahdi Khafaji, Corrado Carta, Frank Ellinger. A 25-Gb/s 270-mW Time-to-Digital Converter-Based 8× Oversampling Input-Delayed Data-Receiver in 45-nm SOI CMOS
3734 -- 3744Peng Chen, Xiongchuan Huang, Yue Chen, Lianbo Wu, Robert Bogdan Staszewski. An On-Chip Self-Characterization of a Digital-to-Time Converter by Embedding it in a First-Order ΔΣ Loop
3745 -- 3755Silvester Sadjina, Ram Sunil Kanumalli, Andreas Gebhard, Krzysztof Dufrene, Mario Huemer, Harald Pretl. A Mixed-Signal Circuit Technique for Cancellation of Interferers Modulated by LO Phase-Noise in 4G/5G CA Transceivers
3756 -- 3768Feng-Wei Kuo, Masoud Babaie, Huan-Neng Ron Chen, Lan-chou Cho, Chewnpu Jou, Mark Chen 0001, Robert Bogdan Staszewski. An All-Digital PLL for Cellular Mobile Phones in 28-nm CMOS with -55 dBc Fractional and -91 dBc Reference Spurs
3769 -- 3779Mohammad H. Naderi, Suraj Prakash, José Silva-Martínez. Operational Transconductance Amplifier With Class-B Slew-Rate Boosting for Fast High-Performance Switched-Capacitor Circuits
3780 -- 3789Paolo Scaramuzza, Carlo Rubino, Michele Caruso, Marc Tiebout, Andrea Bevilacqua, Andrea Neviani. Class-J SiGe X-Band Power Amplifier Using a Ladder Filter-Based AM-PM Distortion Reduction Technique
3790 -- 3799Arthur Campos de Oliveira, David Cordova, Hamilton Klimach, Sergio Bampi. A 0.12-0.4 V, Versatile 3-Transistor CMOS Voltage Reference for Ultra-Low Power Systems
3800 -- 3809Jiawei Zheng, Wing-Hung Ki, Chi-Ying Tsui. A Fully Integrated Analog Front End for Biopotential Signal Sensing
3810 -- 3820Yu Wu 0007, Dai Jiang, Andy Bardill, Serena De Gelidi, Richard H. Bayford, Andreas Demosthenous. A High Frame Rate Wearable EIT System Using Active Electrode ASICs for Lung Respiration and Heart Rate Monitoring
3821 -- 3829Zhong Tang, Yun Fang, Xiaopeng Yu, Zheng Shi, Nianxiong Tan. A CMOS Temperature Sensor With Versatile Readout Scheme and High Accuracy for Multi-Sensor Systems
3830 -- 3841Mst Shamim Ara Shawkat, Mohammad Habib Ullah Habib, Nicole McFarlane. An Analog CMOS Silicon Photomultiplier Using Perimeter-Gated Single-Photon Avalanche Diodes
3842 -- 3853Michele Benetti, Massimo Gottardi, Tobias Mayr, Roberto Passerone. A Low-Power Vision System With Adaptive Background Subtraction and Image Segmentation for Unusual Event Detection
3854 -- 3863Juan Antonio Leñero-Bardallo, Manuel Delgado-Restituto, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez. Asynchronous Spiking Pixel With Programmable Sensitivity to Illumination
3864 -- 3873Yuan Cao, Chao Qun Liu, Chip-Hong Chang. A Low Power Diode-Clamped Inverter-Based Strong Physical Unclonable Function for Robust and Lightweight Authentication
3874 -- 3884Davide Bellizia, Giuseppe Scotti, Alessandro Trifiletti. TEL Logic Style as a Countermeasure Against Side-Channel Attacks: Secure Cells Library in 65nm CMOS and Experimental Results
3885 -- 3896Michele De Martino, Davide De Caro, Darjn Esposito, Ettore Napoli, Nicola Petra, Antonio Giuseppe Maria Strollo. A Standard-Cell-Based All-Digital PWM Modulator With High Resolution and Spread- Spectrum Capability
3897 -- 3906Ali Ibrahim, Maurizio Valle. Real-Time Embedded Machine Learning for Tensorial Tactile Data Processing
3907 -- 3917Wentao Dai, Weiwei Shan, Xinchao Shang, Xinning Liu, Hao Cai, Jun Yang 0006. HTD: A Light-Weight Holosymmetrical Transition Detector for Wide-Voltage-Range Variation Resilient ICs
3918 -- 3928Chun-Yu Yeh, Ting-Chung Chu, Chiao-En Chen, Chia-Hsiang Yang. A Hardware-Scalable DSP Architecture for Beam Selection in mm-Wave MU-MIMO Systems
3929 -- 3941Cosimo Aprile, Kerim Ture, Luca Baldassarre, Mahsa Shoaran, Gürkan Yilmaz, Franco Maloberti, Catherine Dehollain, Yusuf Leblebici, Volkan Cevher. Adaptive Learning-Based Compressive Sampling for Low-power Wireless Implants
3942 -- 3955Xin-Yu Shih, Hong-Ru Chou, Yue-Qu Liu. Design and Implementation of Flexible and Reconfigurable SDF-Based FFT Chip Architecture With Changeable-Radix Processing Elements
3956 -- 3969Fikre Tsigabu Gebreyohannes, Antoine Frappe, Philippe Cathelin, Andreia Cathelin, Andreas Kaiser. All-Digital Transmitter Architecture Based on Two-Path Parallel 1-bit High Pass Filtering DACs
3970 -- 3983Younis Allasasmeh, Stefano Gregori. High-Performance Switched-Capacitor Boost-Buck Integrated Power Converters
3984 -- 3995Wen-Liang Zeng, Chi-Seng Lam, Sai-Weng Sin, Franco Maloberti, Man-Chung Wong, Rui Paulo Martins. A 220-MHz Bondwire-Based Fully-Integrated KY Converter With Fast Transient Response Under DCM Operation
3996 -- 4006Zhidong Liu, Hoi Lee. A Current-Accuracy-Enhanced Wide-Input-Range DC-DC LED Driver With Feedforward Synchronous Current Control
4007 -- 4016Dima Kilani, Baker Mohammad, Mohammad Alhawari, Hani H. Saleh, Mohammed Ismail. A Dual-Output Switched Capacitor DC-DC Buck Converter Using Adaptive Time Multiplexing Technique in 65-nm CMOS
4017 -- 4026Yuanqing Huang, Yan Lu 0002, Franco Maloberti, Rui P. Martins. Nano-Ampere Low-Dropout Regulator Designs for IoT Devices
4027 -- 4034Han Li, Chenchang Zhan, Ning Zhang. A Fully on-Chip Digitally Assisted LDO Regulator With Improved Regulation and Transient Responses