Journal: IEEE Trans. on Circuits and Systems

Volume 65-I, Issue 7

2059 -- 2069Tao Chen, Xiankun Jin, Randall L. Geiger, Degang Chen. USER-SMILE: Ultrafast Stimulus Error Removal and Segmented Model Identification of Linearity Errors for ADC Built-in Self-Test
2070 -- 2082Sadia Afroz, Kwang-Jin Koh. W-Band (92-100 GHz) Phased-Array Receive Channel With Quadrature-Hybrid-Based Vector Modulator
2083 -- 2096Fotis Plessas, George Souliotis, Rodoula Makri. A 76-84 GHz CMOS 4× Subharmonic Mixer With Internal Phase Correction
2097 -- 2108Yo-Hao Tu, Kuo-Hsing Cheng, Man-Ju Lee, Jen-Chieh Liu. A Power-Saving Adaptive Equalizer With a Digital-Controlled Self-Slope Detection
2109 -- 2117Kuan-Yueh James Shen, Syed Feruz Syed Farooq, Yongping Fan, Khoa Minh Nguyen, Qi Wang, Mark Neidengard, Nasser A. Kurd, Amr Elshazly. A Flexible, Low-Power Analog PLL for SoC and Processors in 14nm CMOS
2118 -- 2126Zhiqiang Huang, Bingwei Jiang, Howard C. Luong. A 2.1-GHz Third-Order Cascaded PLL With Sub-Sampling DLL and Clock-Skew-Sampling Phase Detector
2127 -- 2138Abhishek Bhat, Nagendra Krishnapura. 3 Phase Noise Quadrature LC VCOs
2139 -- 2150Zhang Ju Hou, Yang Yang 0034, Leung Chiu, Xi Zhu, Eryk Dutkiewicz, John C. Vardaxoglou, Quan Xue. A W-Band Balanced Power Amplifier Using Broadside Coupled Strip-Line Coupler in SiGe BiCMOS 0.13-µm Technology
2151 -- 2160Yongqiang Wang, Kaixue Ma, Shouxian Mou. A Transformer-Based 3-dB Differential Coupler
2161 -- 2168Yu-Chen Wu, Mohammad Abu Khater, Dimitrios Peroulis. An L-Band Low Phase Noise Evanescent-Mode Cavity-Based Frequency Synthesizer
2169 -- 2182Bing Li, Wei Wang, Jia Liu, Wen-Jun Liu, Qian Yang, Wen Bin Ye. A 1 pF-to-10 nF Generic Capacitance-to-Digital Converter Using Zero-Crossing ΔΣ Modulation
2183 -- 2195Khoa LeTrung, David Declercq, Fakhreddine Ghaffari, Lounis Kessal, Oana Boncalo, Valentin Savin. Variable-Node-Shift Based Architecture for Probabilistic Gradient Descent Bit Flipping on QC-LDPC Codes
2196 -- 2209Behnam Khaleghi, Hossein Asadi. A Resistive RAM-Based FPGA Architecture Equipped With Efficient Programming Circuitry
2210 -- 2220Zilu Wang, Xiaoping Wang. A Novel Memristor-Based Circuit Implementation of Full-Function Pavlov Associative Memory Accorded With Biological Feature
2221 -- 2231Rajlaxmi Basu, Dhrubajyoti Dutta, Soumitro Banerjee, Violeta Holmes, Peter Mather. An Algorithmic Approach for Signal Measurement Using Symbolic Dynamics of Tent Map
2232 -- 2242Zheng-Guang Wu, Yong Xu 0003, Ya-Jun Pan, Housheng Su, Yang Tang. Event-Triggered Control for Consensus Problem in Multi-Agent Systems With Quantized Relative State Measurements and External Disturbance
2243 -- 2255Xiao-Zheng Jin, Shaofan Wang, Jiahu Qin, Wei Xing Zheng, Yu Kang. Adaptive Fault-Tolerant Consensus for a Class of Uncertain Nonlinear Second-Order Multi-Agent Systems With Circuit Implementation
2256 -- 2268Yuan Huang, Junzheng Wang, Dawei Shi, Ling Shi. Performance Assessment of Discrete-Time Extended State Observers: Theoretical and Experimental Results
2269 -- 2278Shiming Song, Kyojin David Choo, Thomas Chen, Sunmin Jang, Michael P. Flynn, Zhengya Zhang. A Maximum-Likelihood Sequence Detection Powered ADC-Based Serial Link
2279 -- 2292Nam-Seog Kim, Jan M. Rabaey. A Dual-Resolution Wavelet-Based Energy Detection Spectrum Sensing for UWB-Based Cognitive Radios
2293 -- 2303Yeseul Jeon, Heegon Kim, Joungho Kim, Minkyu Je. Design of an On-Silicon-Interposer Passive Equalizer for Next Generation High Bandwidth Memory With Data Rate Up To 8 Gb/s
2304 -- 2317Vineet Kumar Singh, Wei-Gi Ho, Ranjit Gharpurey. A Frequency-Folded ADC Channelizer With Digital Equalization and Relaxed Anti-Alias Filtering
2318 -- 2329Erhan Ozalevli, Nicola Femia, Giulia Di Capua, Rajaram Subramonian, Dingkun Du, Joseph Sankman, Mustapha El Markhi. A Cost-Effective Adaptive Rectifier for Low Power Loosely Coupled Wireless Power Transfer Systems
2330 -- 2340Yanan Wang, Lei Dong, XiaoZhong Liao, Xinglong Ju, Steven W. Su, Hongwei Ma. A Pulse Energy Injection Inverter for the Switch-Mode Inductive Power Transfer System
2341 -- 2351Abdelali El Aroudi, Mohammed S. Al-Numay, Weiguo Lu, Josep M. Bosque-Moncusi, Herbert Ho-Ching Iu. A Combined Analytical-Numerical Methodology for Predicting Subharmonic Oscillation in H-Bridge Inverters Under Double Edge Modulation

Volume 65-I, Issue 6

1775 -- 1784Rabia Tugce Yazicigil, Tanbir Haque, Manoj Kumar, Jeffery Yuan, John Wright, Peter R. Kinget. How to Make Analog-to-Information Converters Work in Dynamic Spectrum Environments With Changing Sparsity Conditions
1785 -- 1794Vineeth Sarma, Nevin Alex Jacob, Bibhu Datta Sahoo, Venkateswaran Narayanaswamy, Vikas Choudhary. S/4 Noise-Shaping Bandpass ADC
1795 -- 1804Shaoyu Ma, Jinghao Feng, Tianting Zhao, Baoxing Chen. A Fully Isolated Amplifier Based on Charge-Balanced SAR Converters
1805 -- 1818Yan Li, Gordon W. Roberts. Design of High-Order Type-II Delay-Locked Loops With a Fast-Settling-Zero-Overshoot Step Response and Large Jitter-Rejection Capabilities
1819 -- 1829Xiaofeng Yang, Yan Zhu 0001, Chi-Hang Chan, Seng-Pan U, Rui Paulo Martins. Analysis of Common-Mode Interference and Jitter of Clock Receiver Circuits With Improved Topology
1830 -- 1839Che-Wei Tien, Shen-Iuan Liu. A Digital Phase-Locked Loop With Background Supply Voltage Sensitivity Minimization
1840 -- 1849Federico Bizzarri, Angelo Maurizio Brambilla, Federico Milano. Analytic and Numerical Study of TCSC Devices: Unveiling the Crucial Role of Phase-Locked Loops
1850 -- 1861Kyoungwoon Kim, Cam Nguyen. A SiGe BiCMOS Concurrent K/V Dual-Band 16-Way Power Divider and Combiner
1862 -- 1874Mark D. Hickle, Dimitrios Peroulis. Theory and Design of Frequency-Tunable Absorptive Bandstop Filters
1875 -- 1886Lingxiao Jiao, Yongle Wu, Zheng Zhuang, Yuanan Liu, Ahmed A. Kishk. Planar Balanced-to-Unbalanced In-Phase Power Divider With Wideband Filtering Response and Ultra-Wideband Common-Mode Rejection
1887 -- 1896Golam R. Chowdhury, Arjang Hassibi. An On-Chip CMOS Temperature Sensor Using Self-Discharging P-N Diode in a Δ-Σ Loop
1897 -- 1908Nan Zheng, Pinaki Mazumder. A Scalable Low-Power Reconfigurable Accelerator for Action-Dependent Heuristic Dynamic Programming
1909 -- 1918Shady O. Agwa, Eslam Yahya, Yehea Ismail. A Low Power Self-healing Resilient Microarchitecture for PVT Variability Mitigation
1919 -- 1928Seyedhamidreza Motaman, Swaroop Ghosh, Jaydeep P. Kulkarni. VFAB: A Novel 2-Stage STTRAM Sensing Using Voltage Feedback and Boosting
1929 -- 1940Shih-Hsiang Lin, Pei-Yin Chen, Chih-Kun Hsu. Modular Design of High-Efficiency Hardware Median Filter Architecture
1941 -- 1953Jichen Wang, Jun Lin, Zhongfeng Wang. Efficient Hardware Architectures for Deep Convolutional Neural Network
1954 -- 1967Wenlong Lyu, Pan Xue, Fan Yang 0001, Changhao Yan, Zhiliang Hong, Xuan Zeng 0001, Dian Zhou. An Efficient Bayesian Optimization Approach for Automated Optimization of Analog Circuits
1968 -- 1980Mauro Leoncini, Andrea Bonfanti, Salvatore Levantino, Andrea L. Lacaita. Efficient Behavioral Simulation of Charge-Pump Phase-Locked Loops
1981 -- 1993Matheus T. Moreira, Peter A. Beerel, Marcos L. L. Sartori, Ney Laert Vilar Calazans. NCL Synthesis With Conventional EDA Tools: Technology Mapping and Optimization
1994 -- 2004Wei Ren 0004, Junlin Xiong. Lyapunov Conditions for Stability of Stochastic Impulsive Switched Systems
2005 -- 2014Mohamed Ali, Heba A. Shawkey, Abdelhalim Zekry, Mohamad Sawan. One Mbps 1 nJ/b 3.5-4 GHz Fully Integrated FM-UWB Transmitter for WBAN Applications
2015 -- 2023Cecilia Gimeno, Denis Flandre, David Bol. Analysis and Specification of an IR-UWB Transceiver for High-Speed Chip-to-Chip Communication in a Server Chassis
2024 -- 2034Andres A. Blanco, Gabriel A. Rincón-Mora. Compact Fast-Waking Light/Heat-Harvesting 0.18-µm CMOS Switched-Inductor Charger
2035 -- 2047Ivan Bukreyev, Christopher Torng, Waclaw Godycki, Christopher Batten, Alyssa Apsel. Four Monolithically Integrated Switched-Capacitor DC-DC Converters With Dynamic Capacitance Sharing in 65-nm CMOS
2048 -- 2057Maryam Mohajertehrani, Yvon Savaria, Mohamad Sawan. Harvesting Energy From Aviation Data Lines: Implementation and Experimental Results

Volume 65-I, Issue 5

1469 -- 1480Shanthi Pavan, Eric A. M. Klumperink. Analysis of the Effect of Source Capacitance and Inductance on $N$ -Path Mixers and Filters
1481 -- 1494Thomas Tapen, Zachariah Boynton, Hazal Yuksel, Alyssa Apsel, Alyosha C. Molnar. The Impact of LO Phase Noise in N-Path Filters
1495 -- 1504Domenico Pepe, Ilias Chlis, Domenico Zito. Transformer-Based Input Integrated Matching in Cascode Amplifiers: Analytical Proofs
1505 -- 1515Nicolás Wainstein, Shahar Kvatinsky. TIME - Tunable Inductors Using MEmristors
1516 -- 1528Enkhbayasgalan Gantsog, Alyssa B. Apsel. Theory and Demonstration of Noisy Oscillator Samplers for Clock Jitter and Phase Delay Measurement
1529 -- 1542Parvaneh Saffari, Ali Basaligheh, Vincent J. Sieben, Kambiz Moez. An RF-Powered Wireless Temperature Sensor for Harsh Environment Monitoring With Non-Intermittent Operation
1543 -- 1554Lukasz Farian, Philipp Häfliger, Juan Antonio Leñero-Bardallo. A Miniaturized Two-Axis Ultra Low Latency and Low-Power Sun Sensor for Attitude Determination of Micro Space Probes
1555 -- 1566Yi Luo, Derek Ho, Shahriar Mirabbasi. Exposure-Programmable CMOS Pixel With Selective Charge Storage and Code Memory for Computational Imaging
1567 -- 1580Jaehun Jun, Jaegeun Song, Chulwoo Kim. A Near-Threshold Voltage Oriented Digital Cell Library for High-Energy Efficiency and Optimized Performance in 65nm CMOS Process
1581 -- 1590Fernando Garcia-Redondo, Marisa López-Vallejo. Auto-Erasable RRAM Architecture Secured Against Physical and Firmware Attacks
1591 -- 1601Sandeep Mishra, Telajala Venkata Mahendra, Jyotishman Saikia, Anup Dandapat. A Low-Overhead Dynamic TCAM With Pipelined Read-Restore Refresh Scheme
1602 -- 1613Ryo Matsuoka, Seisuke Kyochi, Shunsuke Ono, Masahiro Okuda. Joint Sparsity and Order Optimization Based on ADMM With Non-Uniform Group Hard Thresholding
1614 -- 1627Jose A. Belloch, José Manuel Badía-Contelles, Francisco D. Igual, Alberto González 0001, Enrique S. Quintana-Ortí. Optimized Fundamental Signal Processing Operations For Energy Minimization on Heterogeneous Mobile Devices
1628 -- 1641Mohammed Bakiri, Jean-François Couchot, Christophe Guyeux. CIPRNG: A VLSI Family of Chaotic Iterations Post-Processings for $\mathbb {F}_{2}$ -Linear Pseudorandom Number Generation Based on Zynq MPSoC
1642 -- 1651Yue-Jin Lin, Tian-Sheuan Chang. Data and Hardware Efficient Design for Convolutional Neural Network
1652 -- 1663Marco Faifer, Christian Laurano, Roberto Ottoboni, Marco Prioli, Sergio Toscani, Michele Zanoni. Definition of Simplified Frequency-Domain Volterra Models With Quasi-Sinusoidal Input
1664 -- 1674Zhiqiang Liu, Shravan K. Chaganti, Degang Chen. Improving Time-Efficiency of Fault-Coverage Simulation for MOS Analog Circuit
1675 -- 1683Federico Bizzarri, Angelo Maurizio Brambilla. Brushing Up on the Urbanek Black Box Arc Model
1684 -- 1695Qichao Ma, Jiahu Qin, Wei Xing Zheng, Yu Kang. Output Group Synchronization for Networks of Heterogeneous Linear Systems Under Internal Model Principle
1696 -- 1706Kexin Liu, Peihu Duan, Zhisheng Duan, Haibin Cai, Jinhu Lu. Leader-Following Consensus of Multi-Agent Systems With Switching Networks and Event-Triggered Control
1707 -- 1716Yueyang Li, Hamid Reza Karimi, Qin Zhang, Dong Zhao, Yibin Li. Fault Detection for Linear Discrete Time-Varying Systems Subject to Random Sensor Delay: A Riccati Equation Approach
1717 -- 1730Guiqiang Peng, Leibo Liu, Sheng Zhou, Shouyi Yin, Shaojun Wei. A 1.58 Gbps/W 0.40 Gbps/mm2 ASIC Implementation of MMSE Detection for $128\times 8~64$ -QAM Massive MIMO in 65 nm CMOS
1731 -- 1743Valentyn Solomko, Rudiger Bauder, Anthony Thomas. Successive Approximation RF Reflectometer for Antenna Tuning in Cellular Handheld Devices
1744 -- 1757Zhihong Luo, Lei Zeng, Benjamin Lau, Yong Lian, Chun-Huat Heng. A Sub-10 mV Power Converter With Fully Integrated Self-Start, MPPT, and ZCS Control for Thermoelectric Energy Harvesting
1758 -- 1770James McCullagh. An Active Diode Full-Wave Charge Pump for Low Acceleration Infrastructure-Based Non-Periodic Vibration Energy Harvesting

Volume 65-I, Issue 4

1157 -- 1173Tetsuya Iizuka, Takaaki Ito, Asad A. Abidi. Comprehensive Analysis of Distortion in the Passive FET Sample-and-Hold Circuit
1174 -- 1184Chetan Singh Thakur, Runchun Wang, Tara Julia Hamilton, Ralph Etienne-Cummings, Jonathan Tapson, André van Schaik. An Analogue Neuromorphic Co-Processor That Utilizes Device Mismatch for Learning Applications
1185 -- 1195Jiawei Zheng, Wing-Hung Ki, Chi-Ying Tsui. Analysis and Design of a Ripple Reduction Chopper Bandpass Amplifier
1196 -- 1209Debajit Basak, Daxiang Li, Kong-Pang Pun. A Gm-C Delta-Sigma Modulator With a Merged Input-Feedback Gm Circuit for Nonlinearity Cancellation and Power Efficiency Enhancement
1210 -- 1223Yi Huang, Li Zhu, Fanpeng Kong, Chun Cheung, Laleh Najafizadeh. BiCMOS-Based Compensation: Toward Fully Curvature-Corrected Bandgap Reference Circuits
1224 -- 1233Anatoli Mordakhay, Yevgeniy Telepinsky, Lior Klein, Joseph Shor, Alexander Fish. A Low Noise Low Offset Readout Circuit for Magnetic-Random-Access-Memory
1234 -- 1244Jun-hui Ou, Shao Yong Zheng, Andrey S. Andrenko, Yuanxin Li, Hong-Zhou Tan. Novel Time-Domain Schottky Diode Modeling for Microwave Rectifier Designs
1245 -- 1256Robert Giterman, Alexander Fish, Andreas Burg, Adam Teman. A 4-Transistor nMOS-Only Logic-Compatible Gain-Cell Embedded DRAM With Over 1.6-ms Retention Time at 700 mV in 28-nm FD-SOI
1257 -- 1268Babak Mohammadi, Oskar Andersson, Joseph Nguyen, Lorenzo Ciampolini, Andreia Cathelin, Joachim Neves Rodrigues. A 128 kb 7T SRAM Using a Single-Cycle Boosting Mechanism in 28-nm FD-SOI
1269 -- 1278Kien Trinh Quang, Sergio Ruocco, Massimo Alioto. Dynamic Reference Voltage Sensing Scheme for Read Margin Improvement in STT-MRAMs
1279 -- 1292Piotr Zbigniew Wieczorek, Krzysztof Golofit. True Random Number Generator Based on Flip-Flop Resolve Time Instability Boosted by Random Chaotic Source
1293 -- 1302Ricardo Gonzalez-Toral, Shanshan Liu, Pedro Reviriego, Juan Antonio Maestro. Reducing the Power Consumption of Fault Tolerant Registers Through Hybrid Protection
1303 -- 1313Karel Toledo de la Garza, Jorge Torres Gomez, Rodrigo C. de Lamare, M. Julia Fernández-Getino García. A Variational Approach for Designing Infinite Impulse Response Filters With Time-Varying Parameters
1314 -- 1326Zheng Wang, Yi Chen, Aakash Patil, Jayasanker Jayabalan, Xueyong Zhang, Chip-Hong Chang, Arindam Basu. Current Mirror Array: A Novel Circuit Topology for Combining Physical Unclonable Function and Machine Learning
1327 -- 1339Fernando Corinto, Mauro Forti. Memristor Circuits: Pulse Programming via Invariant Manifolds
1340 -- 1348Yangping Zhao, Simon Hemour, Hao Chen, Taijun Liu, Ke Wu. Power-Handling Capacity and Nonlinearity Analysis for Distributed Electronic Impedance Synthesizer
1349 -- 1362Arash Ardakani, Carlo Condo, Mehdi Ahmadi, Warren J. Gross. An Architecture to Accelerate Convolution in Deep Neural Networks
1363 -- 1376Alberto Bernardini, Paolo Maffezzoni, Luca Daniel, Augusto Sarti. Wave-Based Analysis of Large Nonlinear Photovoltaic Arrays
1377 -- 1385Shimin Wang, Jie Huang 0001. Cooperative Output Regulation of Singular Multi-Agent Systems Under Switching Network by Standard Reduction
1386 -- 1394Yuan-Qing Wu, Renquan Lu. Event-Based Control for Network Systems via Integral Quadratic Constraints
1395 -- 1405Shuichi Ohno, Yuma Ishihara, Masaaki Nagahara. Min-Max Design of Error Feedback Quantizers Without Overloading
1406 -- 1419Tso-Wei Li, Hua Wang 0006. A Millimeter-Wave Fully Integrated Passive Reflection-Type Phase Shifter With Transformer-Based Multi-Resonance Loads for 360° Phase Shifting
1420 -- 1431Carlo Condo, Pascal Giard, François Leduc-Primeau, Gabi Sarkis, Warren J. Gross. A 9.52 dB NCG FEC Scheme and 162 b/Cycle Low-Complexity Product Decoder Architecture
1432 -- 1441Egidio Ragonese, Nunzio Spina, Alessandro Castorina, Pierpaolo Lombardo, Nunzio Greco, Alessandro Parisi, Giuseppe Palmisano. A Fully Integrated Galvanically Isolated DC-DC Converter With Data Communication
1442 -- 1453Bipin Chandra Mandi, Santanu Kapat, Amit Patra. Unified Digital Modulation Techniques for DC-DC Converters Over a Wide Operating Range: Implementation, Modeling, and Design Guidelines
1454 -- 1467Antonio Camarda, Marco Tartagni, Aldo Romani. A -8 mV/+15 mV Double Polarity Piezoelectric Transformer-Based Step-Up Oscillator for Energy Harvesting Applications

Volume 65-I, Issue 3

857 -- 858Fabio Pareschi, Felix Lustenberger, Håkan Johansson, Joseph Cavallaro. Guest Editorial Special Issue on the 2017 IEEE International Symposium on Circuits and Systems (ISCAS 2017)
859 -- 869Chun-hsiang Chang, Marvin Onabajo. Analysis and Demonstration of an IIP3 Improvement Technique for Low-Power RF Low-Noise Amplifiers
870 -- 880Sebastian Nessler, Maximilian Marx 0002, Yiannos Manoli. A Self-Test on Wafer Level for a MEM Gyroscope Readout Based on ΔΣ Modulation
881 -- 890Chung-Wei Hsu, Soon-Jyh Chang, Chun-Po Huang, Li-Jen Chang, Ya-Ting Shyu, Chih-Huei Hou, Hwa-An Tseng, Chih-Yuan Kung, Huan-Jui Hu. A 12-b 40-MS/s Calibration-Free SAR ADC
891 -- 903Ehsan Kargaran, Danilo Manstretta, Rinaldo Castello. Design and Analysis of 2.4 GHz 30~µW CMOS LNAs for Wearable WSN Applications
904 -- 913Ruocheng Wang, Wengao Lu, Meng Zhao, Yuze Niu, Zhaokai Liu, Yacong Zhang, Zhongjian Chen. A Sub-1ppm/°C Current-Mode CMOS Bandgap Reference With Piecewise Curvature Compensation
914 -- 924Dmytro Cherniak, Carlo Samori, Roberto Nonis, Salvatore Levantino. PLL-Based Wideband Frequency Modulator: Two-Point Injection Versus Pre-Emphasis Technique
925 -- 934Julien N. P. Martel, Lorenz K. Müller, Stephen J. Carey, Jonathan Müller, Yulia Sandamirskaya, Piotr Dudek. Real-Time Depth From Focus on a Programmable Focal Plane Processor
935 -- 945François Stas, David Bol. A 0.4-V 0.66-fJ/Cycle Retentive True-Single-Phase-Clock 18T Flip-Flop in 28-nm Fully-Depleted SOI CMOS
946 -- 959Seyed Nima Mozaffari, Spyros Tragoudas, Themistoklis Haniotakis. A Generalized Approach to Implement Efficient CMOS-Based Threshold Logic Functions
960 -- 969Md. Nazmul Islam, Vinay C. Patil, Sandip Kundu. On Enhancing Reliability of Weak PUFs via Intelligent Post-Silicon Accelerated Aging
970 -- 981Maik Beer, Olaf M. Schrey, Bedrich J. Hosticka, Rainer Kokozinski. Expected Value and Variance of the Indirect Time-of-Flight Measurement With Dead Time Afflicted Single-Photon Avalanche Diodes
982 -- 991Wu-Sheng Lu, Takao Hinamoto. Design of Least-Squares and Minimax Composite Filters
992 -- 1002Yi Wang, Hui Liu, Lap-Pui Chau. Single Underwater Image Restoration Using Adaptive Attenuation-Curve Prior
1003 -- 1015Lucas A. Thomaz, Eric Jardim, Allan F. da Silva, Eduardo A. B. da Silva, Sergio L. Netto, Hamid Krim. Anomaly Detection in Moving-Camera Video Sequences Using Principal Subspace Analysis
1016 -- 1027Mauro Mangia, Fabio Pareschi, Riccardo Rovatti, Gianluca Setti. Adaptive Matrix Design for Boosting Compressed Sensing
1028 -- 1039Matteo Lodi, Andrey Shilnikov, Marco Storace. Design of Synthetic Central Pattern Generators Producing Desired Quadruped Gaits
1040 -- 1050Fernando Corinto, Mauro Forti. Complex Dynamics in Arrays of Memristor Oscillators via the Flux-Charge Method
1051 -- 1062John V. Monaco, Manuel M. Vindiola. Factoring Integers With a Brain-Inspired Computer
1063 -- 1074Peikun Wang, Conrad J. Moore, Amir Masoud Gharehbaghi, Masahiro Fujita. An ATPG Method for Double Stuck-At Faults by Analyzing Propagation Paths of Single Faults
1075 -- 1085Chen Yan, Emre Salman. Mono3D: Open Source Cell Library for Monolithic 3-D Integrated Circuits
1086 -- 1095Raffaele De Rose, Marco Lanuzza, Felice Crupi, Giulio Siracusano, Riccardo Tomasello, Giovanni Finocchio, Mario Carpentieri, Massimo Alioto. A Variation-Aware Timing Modeling Approach for Write Operation in Hybrid CMOS/STT-MTJ Circuits
1096 -- 1109Marco Crepaldi, Gian Nicola Angotzi, Antonio Maviglia, Francesco Diotalevi, Luca Berdondini. A 5 pJ/pulse at 1-Gpps Pulsed Transmitter Based on Asynchronous Logic Master-Slave PLL Synthesis
1110 -- 1119Kevin Banovic, Anthony Chan Carusone. A Sub-mW Integrating Mixer SAR Spectrum Sensor for Portable Cognitive Radio Applications
1120 -- 1132Oscar Castañeda, Tom Goldstein, Christoph Studer. VLSI Designs for Joint Channel Estimation and Data Detection in Large SIMO Wireless Systems
1133 -- 1142Jinbo Li, Zhiwei Xu, Wei Hong 0002, Qun Jane Gu. A Cartesian Error Feedback Architecture
1143 -- 1153Xiaoxue Jiang, Xiaojian Yu, Kambiz Moez, Duncan G. Elliott, Jie Chen 0002. High-Efficiency Charge Pumps for Low-Power On-Chip Applications

Volume 65-I, Issue 2

421 -- 433Derui Kong, Ian Galton. Adaptive Cancellation of Static and Dynamic Mismatch Error in Continuous-Time DACs
434 -- 443Ankesh Jain, Shanthi Pavan. Continuous-Time Delta-Sigma Modulators With Time-Interleaved FIR Feedback
444 -- 457Eric Gutierrez, Luis Hernández, Fernando Cardes, Pieter Rombouts. A Pulse Frequency Modulation Interpretation of VCOs Enabling VCO-ADC Architectures With Extended Noise Shaping
458 -- 470Shaolong Liu, Taimur Gibran Rabuske, Jeyanandh Paramesh, Lawrence T. Pileggi, Jorge R. Fernandes. Analysis and Background Self-Calibration of Comparator Offset in Loop-Unrolled SAR ADCs
471 -- 484Michaël Pelissier, Christoph Studer. Non-Uniform Wavelet Sampling for RF Analog-to-Information Conversion
485 -- 497Geum-Young Tak, Kwyro Lee. A Low-Reference Spur MDLL-Based Clock Multiplier and Derivation of Discrete-Time Noise Transfer Function for Phase Noise Analysis
498 -- 509Alireza Karimi-Bidhendi, Hossein Mohammadnezhad, Michael M. Green, Payam Heydari. A Silicon-Based Low-Power Broadband Transimpedance Amplifier
510 -- 521Hao Li, Ahmed M. El-Gabaly, Carlos E. Saavedra. A Low-Power Low-Noise Decade-Bandwidth Switched Transconductor Mixer With AC-Coupled LO Buffers
522 -- 530Andrey Martchovsky, Kenneth D. Pedrotti. Amplifier Innovations for Improvement of Rotary Traveling Wave Oscillators
531 -- 542Federico Pepe, Andrea Bevilacqua, Pietro Andreani. On the Remarkable Performance of the Series-Resonance CMOS Oscillator
543 -- 555Sheng-Yu Peng, Yu-Hsien Lee, Tzu-Yun Wang, Hui-Chun Huang, Min-Rui Lai, Chiang-Hsi Lee, Li-Han Liu. A Power-Efficient Reconfigurable OTA-C Filter for Low-Frequency Biomedical Applications
556 -- 566Arup Polley, Pankaj Pandey, Bryan E. Bloodworth, Costin Cazana. Analog Frontend for Tribo-Current-Based Fly-Height Sensor for Magnetic Hard Disk Drive
567 -- 580Youchang Kim, Dongjoo Shin, Jinsu Lee, Yongsu Lee, Hoi-Jun Yoo. A 0.55 V 1.1 mW Artificial Intelligence Processor With On-Chip PVT Compensation for Autonomous Mobile Robots
581 -- 592Mario Garrido, Shen-Jui Huang, Sau-Gee Chen. Feedforward FFT Hardware Architectures Based on Rotator Allocation
593 -- 605Hailong Liu, Wenchao Liu, Zhaojun Lu, Qiaoling Tong, Zhenglin Liu. Methods for Estimating the Convergence of Inter-Chip Min-Entropy of SRAM PUFs
606 -- 617Charan Kumar Vala, Koushik Immadisetty, Amit Acharyya, Charles Leech, Vibishna Balagopal, Geoff V. Merrett, Bashir M. Al-Hashimi. High-Speed Low-Complexity Guided Image Filtering-Based Disparity Estimation
618 -- 627T. Bindima, Elizabeth Elias. Design and Implementation of Low Complexity 2-D Variable Digital FIR Filters Using Single-Parameter-Tunable 2-D Farrow Structure
628 -- 637Xiangdong Huang, Bo Zhang, Haohua Qin, Wenxing An. Closed-Form Design of Variable Fractional-Delay FIR Filters With Low or Middle Cutoff Frequencies
638 -- 649Sheng Zhang 0006, Hing-Cheung So, Wen Mi, Hongyu Han. A Family of Adaptive Decorrelation NLMS Algorithms and Its Diffusion Version Over Adaptive Networks
650 -- 662Vasundhara, Niladri B. Puhan, Ganapati Panda. De-Correlated Improved Adaptive Exponential FLAF-Based Nonlinear Adaptive Feedback Cancellation for Hearing Aids
663 -- 676Praful P. Pai, Pradyut Kumar Sanki, Sudeep K. Sahoo, Arijit De, Sourangshu Bhattacharya, Swapna Banerjee. Cloud Computing-Based Non-Invasive Glucose Monitoring for Diabetic Care
677 -- 686Yang Zhang 0012, Xiaoping Wang, Eby G. Friedman. Memristor-Based Circuit Design for Multilayer Neural Networks
687 -- 699Anju P. Johnson, Junxiu Liu, Alan G. Millard, Shvan Karim, Andy M. Tyrrell, Jim Harkin, Jon Timmis, Liam McDaid, David M. Halliday. Homeostatic Fault Tolerance in Spiking Neural Networks: A Dynamic Hardware Perspective
700 -- 711Mario Barbareschi, Giorgio Di Natale, Lionel Torres, Antonino Mazzeo. A Ring Oscillator-Based Identification Mechanism Immune to Aging and External Working Conditions
712 -- 722Jiajia Chen, Chip-Hong Chang, Jiatao Ding, Rui Qiao, Mathias Faust. Tap Delay-and-Accumulate Cost Aware Coefficient Synthesis Algorithm for the Design of Area-Power Efficient FIR Filters
723 -- 732Qiang Jia, Wallace K. S. Tang. Event-Triggered Protocol for the Consensus of Multi-Agent Systems With State-Dependent Nonlinear Coupling
733 -- 744Yao Liu 0003, Wouter A. Serdijn. Analysis and Design of a Passive Receiver Front-End Using an Inductive Antenna Impedance
745 -- 757Zhaoyang Weng, Hanjun Jiang, Jingjing Dong, Yang Li, Jingyi Zheng, Yiyu Shen, Fule Li, Woogeun Rhee, Zhihua Wang. 400-MHz/2.4-GHz Combo WPAN Transceiver IC for Simultaneous Dual-Band Communication With One Single Antenna
758 -- 768Muhammad Touqir Pasha, Muhammad Fahim Ul Haque, Jahanzeb Ahmad, Ted Johansson. A Modified All-Digital Polar PWM Transmitter
769 -- 782Kaining Han, JianHao Hu, Jienan Chen, Hao Lu. A Low Complexity Sparse Code Multiple Access Detector Based on Stochastic Computing
783 -- 792Frank Herzel, Dietmar Kissinger, Herman Jalli Ng. Analysis of Ranging Precision in an FMCW Radar Measurement Using a Phase-Locked Loop
793 -- 803Xiaosen Liu, Krishnan Ravichandran, Edgar Sánchez-Sinencio. A Switched Capacitor Energy Harvester Based on a Single-Cycle Criterion for MPPT to Eliminate Storage Capacitor
804 -- 817Shi Ge, Yinshui Xia, Xiudeng Wang, Libo Qian, Yidie Ye, Qing Li. An Efficient Self-Powered Piezoelectric Energy Harvesting CMOS Interface Circuit Based on Synchronous Charge Extraction Technique
818 -- 831Navankur Beohar, Venkata N. K. Malladi, Debashis Mandal, Sule Ozev, Bertan Bakkaloglu. Online Built-In Self-Test of High Switching Frequency DC-DC Converters Using Model Reference Based System Identification Techniques
832 -- 841Jun Yang 0011, Hongyu Cui, Shihua Li, Argyrios Zolotas. Optimized Active Disturbance Rejection Control for DC-DC Buck Converters With Uncertainties Using a Reduced-Order GPI Observer
842 -- 853Alireza Khoshsaadat, Javad S. Moghani. Fifth-Order T-Type Passive Resonant Tanks Tailored for Constant Current Resonant Converters

Volume 65-I, Issue 12

4037 -- 4050Hyuk Sun, Kazuki Sobue, Koichi Hamashita, Un-Ku Moon. An Oversampling Stochastic ADC Using VCO-Based Quantizers
4051 -- 4061Nikola Ivanisevic, Saul Rodriguez Duenas, Ana Rusu. A 14-ENOB Delta-Sigma-Based Readout Architecture for ECoG Recording Systems
4062 -- 4071He Zhu, Yang Yang 0034, Xi Zhu 0001, Yichuang Sun, Sai-Wai Wong. Miniaturized Resonator and Bandpass Filter for Silicon-Based Monolithic Microwave and Millimeter-Wave Integrated Circuits
4072 -- 4085Longjie Zhong, Xinquan Lai, Hongjiang Song, Donglai Xu. Differential Capacitive Readout Circuit Using Oversampling Successive Approximation Technique
4086 -- 4096Xin-ming, Li Hu, Yang-Li Xin, Xuan Zhang, Di Gao, Bo Zhang. A High-Precision Resistor-Less CMOS Compensated Bandgap Reference Based on Successive Voltage-Step Compensation
4097 -- 4109Hamidreza Mafi, Mostafa Yargholi, Mohammad Yavari. Statistics-Based Digital Background Calibration of Residue Amplifier Nonlinearity in Pipelined ADCs
4110 -- 4120Saqib Mohamad, Jie Yuan, Amine Bermak. Power Bounds and Energy Efficiency in Incremental ΔΣ Analog-to-Digital Converters
4121 -- 4132Matthew Sienko. Loop-Filter Design and Analysis for Delta-Sigma Modulators and Oversampled IIR Filters
4133 -- 4146Adam Cooman, Piet Bronders, Dries Peumans, Gerd Vandersteen, Yves Rolain. Distortion Contribution Analysis With the Best Linear Approximation
4147 -- 4156Reza Hashemian. Amplifier Design for Specified Frequency Response Profiles Using Nullors-Hearing Aids, a Case Study
4157 -- 4168Andrea Mazzanti, Andrea Bevilacqua. Second-Order Equivalent Circuits for the Design of Doubly-Tuned Transformer Matching Networks
4169 -- 4182Darjn Esposito, Antonio Giuseppe Maria Strollo, Ettore Napoli, Davide De Caro, Nicola Petra. Approximate Multipliers Based on New Approximate Compressors
4183 -- 4195Yuanyong Luo, Yuxuan Wang, Huaqing Sun, Yi Zha, Zhongfeng Wang, Hongbing Pan. CORDIC-Based Architecture for Computing Nth Root and Its Implementation
4196 -- 4207Jihyuck Jo, Suchang Kim, In-Cheol Park. Energy-Efficient Convolution Architecture Based on Rescheduled Dataflow
4208 -- 4218Robert Giterman, Yoav Weizman, Adam Teman. Gain-Cell Embedded DRAM-Based Physical Unclonable Function
4219 -- 4232Amogh Agrawal, Akhilesh Jaiswal, Chankyu Lee, Kaushik Roy 0001. X-SRAM: Enabling In-Memory Boolean Computations in CMOS Static Random Access Memories
4233 -- 4246Kurt James Werner, Alberto Bernardini, Julius O. Smith, Augusto Sarti. Modeling Circuits With Arbitrary Topologies and Active Linear Multiports Using Wave Digital Filters
4247 -- 4257Dwaipayan Ray, Nithin V. George, Pramod Kumar Meher. Efficient Shift-Add Implementation of FIR Filters Using Variable Partition Hybrid Form Structures
4258 -- 4271Ameer Haj Ali, Rotem Ben Hur, Nimrod Wald, Ronny Ronen, Shahar Kvatinsky. IMAGING: In-Memory AlGorithms for Image processiNG
4272 -- 4284Harry Weber, Wolfgang Mathis. Analysis and Design of Nonlinear Circuits With a Self-Consistent Carleman Linearization
4285 -- 4298Sung Kim, Patrick Howe, Thierry Moreau, Armin Alaghi, Luis Ceze, Visvesh S. Sathe. Energy-Efficient Neural Network Acceleration in the Presence of Bit-Level Memory Errors
4299 -- 4312Syed Ahmed Aamir, Yannik Stradmann, Paul Müller 0002, Christian Pehle, Andreas Hartel, Andreas Grübl, Johannes Schemmel, Karlheinz Meier. An Accelerated LIF Neuronal Network Array for a Large-Scale Mixed-Signal Neuromorphic Architecture
4313 -- 4325Chetan Vudadha, Ajay Surya, Saurabh Agrawal, M. B. Srinivas. Synthesis of Ternary Logic Circuits Using 2: 1 Multiplexers
4326 -- 4335Bijan Alizadeh, Mehdi Shakeri. QBF-Based Post-Silicon Debug of Speed-Paths Under Timing Variations
4336 -- 4348He Wang 0006, Wenwu Yu, Guanghui Wen, Guanrong Chen. Finite-Time Bipartite Consensus for Multi-Agent Systems on Directed Signed Networks
4349 -- 4362Ashish Kothyari, Cornelis Praagman, Madhu N. Belur. Lossless Systems Storage Function: New Results and Numerically Stable and Non-Iterative Computational Methods
4363 -- 4375Junjie Fu, Guanghui Wen, Wenwu Yu, Tingwen Huang, Jinde Cao. Exponential Consensus of Multiagent Systems With Lipschitz Nonlinearities Using Sampled-Data Information
4376 -- 4389Mohammad Ghadiri-Sadrabadi, Joseph C. Bardin. A Discrete-Time RF Signal-Processing Technique for Blocker-Tolerant Receivers With Wide Instantaneous Bandwidth
4390 -- 4403Tobias Buckel, Peter Preyler, Alexander Klinkan, Damir Hamidovic, Christoph Preissl, Thomas Mayer, Stefan Tertinek, Siegfried Brandstaetter, Christian Wicpalek, Andreas Springer, Robert Weigel. A Novel Digital-Intensive Hybrid Polar-I/Q RF Transmitter Architecture
4404 -- 4412Sheyang Ning. Advanced Bit Flip Concatenates BCH Code Demonstrates 0.93% Correctable BER and Faster Decoding on (36 864, 32 768) Emerging Memories
4413 -- 4422Gabriele Coppolino, Carlo Condo, Guido Masera, Warren J. Gross. A Multi-Kernel Multi-Code Polar Decoder Architecture
4423 -- 4433Nunzio Greco, Alessandro Parisi, Pierpaolo Lombardo, Nunzio Spina, Egidio Ragonese, Giuseppe Palmisano. A Double-Isolated DC-DC Converter Based on Integrated LC Resonant Barriers
4434 -- 4445Jin Guk Kim, Guo Wei, Man-ho Kim, Hyok-Su Ryo, Phyong-Chol Ri, Chunbo Zhu. A Splitting Frequencies-Based Wireless Power and Information Simultaneous Transfer Method

Volume 65-I, Issue 11

3605 -- 0Elena Blokhina. Guest Editorial Special Issue on the 2018 International Symposium on Integrated Circuits and Systems
3606 -- 3616Yan Zhu 0001, Chi-Hang Chan, Zi-Hao Zheng, Cheng Li 0010, Jianyu Zhong, Rui P. Martins. 2 10 b 2.3 GS/s 12-Way Time-Interleaved Pipelined-SAR ADC in 65-nm CMOS
3617 -- 3627Min-Jae Seo, Dong-Hwan Jin, Ye-Dam Kim, Sun-Il Hwang, Jong Pal Kim, Seung-Tak Ryu. A 18.5 nW 12-bit 1-kS/s Reset-Energy Saving SAR ADC for Bio-Signal Acquisition in 0.18-µm CMOS
3628 -- 3638Sohail Asghar, Sohaib Saadat Afridi, Anu Pillai, Anita Schuler, José M. de la Rosa, Ivan O'Connell. A 2-MS/s, 11.22 ENOB, Extended Input Range SAR ADC With Improved DNL and Offset Calculation
3639 -- 3650Hongshuai Zhang, Hong Zhang 0009, Quan Sun, Jijun Li, Xipeng Liu, Ruizhi Zhang. A 0.6-V 10-bit 200-kS/s SAR ADC With Higher Side-Reset-and-Set Switching Scheme and Hybrid CAP-MOS DAC
3651 -- 3661R. S. Ashwin Kumar, Debasish Behera, Nagendra Krishnapura. Reset-Free Memoryless Delta-Sigma Analog-to-Digital Conversion
3662 -- 3674João L. A. de Melo, Nuno Paulino, João Goes. Continuous-Time Delta-Sigma Modulators Based on Passive RC Integrators
3675 -- 3687Mohammad Honarparvar, José M. de la Rosa, Mohamad Sawan. A 0.9-V 100-µW Feedforward Adder-Less Inverter-Based MASH ΔΣ Modulator With 91-dB Dynamic Range and 20-kHz Bandwidth
3688 -- 3697Dong-Kyu Jung, Yun-Hwan Jung, Taegeun Yoo, Dong Hyun Yoon, Bo-Yun Jung, Tony Tae-Hyoung Kim, Kwang-Hyun Baek. A 12-bit Multi-Channel R-R DAC Using a Shared Resistor String Scheme for Area-Efficient Display Source Driver
3698 -- 3706Hua Fan, Dagang Li, Kelin Zhang, Yuanjun Cen, Quanyuan Feng, Fei Qiao, Hadi Heidari. A 4-Channel 12-Bit High-Voltage Radiation-Hardened Digital-to-Analog Converter for Low Orbit Satellite Applications
3707 -- 3719Guan-Cheng Wang, Cheng Li 0010, Yan Zhu 0001, Jianyu Zhong, Yan Lu 0002, Chi-Hang Chan, Rui P. Martins. Missing-Code-Occurrence Probability Calibration Technique for DAC Nonlinearity With Supply and Reference Circuit Analysis in a SAR ADC
3720 -- 3733Sami Ur Rehman, Mohammad Mahdi Khafaji, Corrado Carta, Frank Ellinger. A 25-Gb/s 270-mW Time-to-Digital Converter-Based 8× Oversampling Input-Delayed Data-Receiver in 45-nm SOI CMOS
3734 -- 3744Peng Chen, Xiongchuan Huang, Yue Chen, Lianbo Wu, Robert Bogdan Staszewski. An On-Chip Self-Characterization of a Digital-to-Time Converter by Embedding it in a First-Order ΔΣ Loop
3745 -- 3755Silvester Sadjina, Ram Sunil Kanumalli, Andreas Gebhard, Krzysztof Dufrene, Mario Huemer, Harald Pretl. A Mixed-Signal Circuit Technique for Cancellation of Interferers Modulated by LO Phase-Noise in 4G/5G CA Transceivers
3756 -- 3768Feng-Wei Kuo, Masoud Babaie, Huan-Neng Ron Chen, Lan-chou Cho, Chewnpu Jou, Mark Chen 0001, Robert Bogdan Staszewski. An All-Digital PLL for Cellular Mobile Phones in 28-nm CMOS with -55 dBc Fractional and -91 dBc Reference Spurs
3769 -- 3779Mohammad H. Naderi, Suraj Prakash, José Silva-Martínez. Operational Transconductance Amplifier With Class-B Slew-Rate Boosting for Fast High-Performance Switched-Capacitor Circuits
3780 -- 3789Paolo Scaramuzza, Carlo Rubino, Michele Caruso, Marc Tiebout, Andrea Bevilacqua, Andrea Neviani. Class-J SiGe X-Band Power Amplifier Using a Ladder Filter-Based AM-PM Distortion Reduction Technique
3790 -- 3799Arthur Campos de Oliveira, David Cordova, Hamilton Klimach, Sergio Bampi. A 0.12-0.4 V, Versatile 3-Transistor CMOS Voltage Reference for Ultra-Low Power Systems
3800 -- 3809Jiawei Zheng, Wing-Hung Ki, Chi-Ying Tsui. A Fully Integrated Analog Front End for Biopotential Signal Sensing
3810 -- 3820Yu Wu 0007, Dai Jiang, Andy Bardill, Serena De Gelidi, Richard H. Bayford, Andreas Demosthenous. A High Frame Rate Wearable EIT System Using Active Electrode ASICs for Lung Respiration and Heart Rate Monitoring
3821 -- 3829Zhong Tang, Yun Fang, Xiaopeng Yu, Zheng Shi, Nianxiong Tan. A CMOS Temperature Sensor With Versatile Readout Scheme and High Accuracy for Multi-Sensor Systems
3830 -- 3841Mst Shamim Ara Shawkat, Mohammad Habib Ullah Habib, Nicole McFarlane. An Analog CMOS Silicon Photomultiplier Using Perimeter-Gated Single-Photon Avalanche Diodes
3842 -- 3853Michele Benetti, Massimo Gottardi, Tobias Mayr, Roberto Passerone. A Low-Power Vision System With Adaptive Background Subtraction and Image Segmentation for Unusual Event Detection
3854 -- 3863Juan Antonio Leñero-Bardallo, Manuel Delgado-Restituto, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez. Asynchronous Spiking Pixel With Programmable Sensitivity to Illumination
3864 -- 3873Yuan Cao, Chao Qun Liu, Chip-Hong Chang. A Low Power Diode-Clamped Inverter-Based Strong Physical Unclonable Function for Robust and Lightweight Authentication
3874 -- 3884Davide Bellizia, Giuseppe Scotti, Alessandro Trifiletti. TEL Logic Style as a Countermeasure Against Side-Channel Attacks: Secure Cells Library in 65nm CMOS and Experimental Results
3885 -- 3896Michele De Martino, Davide De Caro, Darjn Esposito, Ettore Napoli, Nicola Petra, Antonio Giuseppe Maria Strollo. A Standard-Cell-Based All-Digital PWM Modulator With High Resolution and Spread- Spectrum Capability
3897 -- 3906Ali Ibrahim, Maurizio Valle. Real-Time Embedded Machine Learning for Tensorial Tactile Data Processing
3907 -- 3917Wentao Dai, Weiwei Shan, Xinchao Shang, Xinning Liu, Hao Cai, Jun Yang 0006. HTD: A Light-Weight Holosymmetrical Transition Detector for Wide-Voltage-Range Variation Resilient ICs
3918 -- 3928Chun-Yu Yeh, Ting-Chung Chu, Chiao-En Chen, Chia-Hsiang Yang. A Hardware-Scalable DSP Architecture for Beam Selection in mm-Wave MU-MIMO Systems
3929 -- 3941Cosimo Aprile, Kerim Ture, Luca Baldassarre, Mahsa Shoaran, Gürkan Yilmaz, Franco Maloberti, Catherine Dehollain, Yusuf Leblebici, Volkan Cevher. Adaptive Learning-Based Compressive Sampling for Low-power Wireless Implants
3942 -- 3955Xin-Yu Shih, Hong-Ru Chou, Yue-Qu Liu. Design and Implementation of Flexible and Reconfigurable SDF-Based FFT Chip Architecture With Changeable-Radix Processing Elements
3956 -- 3969Fikre Tsigabu Gebreyohannes, Antoine Frappe, Philippe Cathelin, Andreia Cathelin, Andreas Kaiser. All-Digital Transmitter Architecture Based on Two-Path Parallel 1-bit High Pass Filtering DACs
3970 -- 3983Younis Allasasmeh, Stefano Gregori. High-Performance Switched-Capacitor Boost-Buck Integrated Power Converters
3984 -- 3995Wen-Liang Zeng, Chi-Seng Lam, Sai-Weng Sin, Franco Maloberti, Man-Chung Wong, Rui Paulo Martins. A 220-MHz Bondwire-Based Fully-Integrated KY Converter With Fast Transient Response Under DCM Operation
3996 -- 4006Zhidong Liu, Hoi Lee. A Current-Accuracy-Enhanced Wide-Input-Range DC-DC LED Driver With Feedforward Synchronous Current Control
4007 -- 4016Dima Kilani, Baker Mohammad, Mohammad Alhawari, Hani H. Saleh, Mohammed Ismail. A Dual-Output Switched Capacitor DC-DC Buck Converter Using Adaptive Time Multiplexing Technique in 65-nm CMOS
4017 -- 4026Yuanqing Huang, Yan Lu 0002, Franco Maloberti, Rui P. Martins. Nano-Ampere Low-Dropout Regulator Designs for IoT Devices
4027 -- 4034Han Li, Chenchang Zhan, Ning Zhang. A Fully on-Chip Digitally Assisted LDO Regulator With Improved Regulation and Transient Responses

Volume 65-I, Issue 10

3125 -- 3137Enrique Alvarez-Fontecilla, Christian Venerus, Ian Galton. Multi-Rate DEM With Mismatch-Noise Cancellation for DCOs in Digital PLLs
3138 -- 3149Milenko M. Milicevic, Branislava S. Milinkovic, Dusan N. Grujic, Lazar V. Saranovac. Power and Conjugately Matched High Band UWB Power Amplifier
3150 -- 3161Jianquan Hu, Kaixue Ma, Shouxian Mou, Fanyi Meng. A Seven-Octave Broadband LNA MMIC Using Bandwidth Extension Techniques and Improved Active Load
3162 -- 3173Shita Guo, Ping Gui, Tianwei Liu, Tao Zhang 0034, Tianzuo Xi, Guoying Wu, Yanli Fan, Mark Morgan. A Low-Voltage Low-Phase-Noise 25-GHz Two-Tank Transformer-Feedback VCO
3174 -- 3185Abhishek Kumar 0007, Sankaran Aniruddhan. A 2.5-GHz CMOS Full-Duplex Front-End for Asymmetric Data Networks
3186 -- 3195Amir Bazrafshan, Mohammad Taherzadeh-Sani, Frederic Nabki. A 0.8-4-GHz Software-Defined Radio Receiver With Improved Harmonic Rejection Through Non-Overlapped Clocking
3196 -- 3206Lingshan Kong, Yong Chen 0005, Chirn Chye Boon, Pui-In Mak, Rui P. Martins. A Wideband Inductorless dB-Linear Automatic Gain Control Amplifier Using a Single-Branch Negative Exponential Generator for Wireline Applications
3207 -- 3215Saravana Manivannan, Shanthi Pavan. Degradation of Alias Rejection in Continuous-Time Delta-Sigma Modulators by Weak Loop-Filter Nonlinearities
3216 -- 3226Kamlesh Singh. Analysis and Modeling of Chopping Phase Non-Overlap in Continuous-Time ΔΣ Modulators
3227 -- 3240Tai-Ji An, Moon Sang Hwang, Won-Jun Choe, Gil-Cho Ahn, Seung-Hoon Lee. Area-Efficient Time-Shared Digital-to-Analog Converter With Dual Sampling for AMOLED Column Driver IC's
3241 -- 3254Hayate Okuhara, Akram Ben Ahmed, Hideharu Amano. Digitally Assisted On-Chip Body Bias Tuning Scheme for Ultra Low-Power VLSI Systems
3255 -- 3266Mehdi Lotfi Navaii, Hamed Sadjedi, Amir Sarrafzadeh. Efficient ASK Data and Power Transmission by the Class-E With a Switchable Tuned Network
3267 -- 3278Shanthi Pavan, Eric A. M. Klumperink. Generalized Analysis of High-Order Switch-RC N-Path Mixers/Filters Using the Adjoint Network
3279 -- 3290Dawei Mai, Michael Peter Kennedy. A Design Method for Nested MASH-SQ Hybrid Divider Controllers for Fractional-N Frequency Synthesizers
3291 -- 3299Ngoc Le Ba, Tony Tae-Hyoung Kim. 2 FFT Processor With Feed-Forward Multiple Delay Commutators
3300 -- 3311Debayan Das, Shovan Maity, Saad Bin Nasir, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen. ASNI: Attenuated Signature Noise Injection for Low-Overhead Power Side-Channel Attack Immunity
3312 -- 3325Xiangyu Zhang 0002, Fengwei An, Lei Chen 0001, Idaku Ishii, Hans Jürgen Mattausch. A Modular and Reconfigurable Pipeline Architecture for Learning Vector Quantization
3326 -- 3337Shourya Gupta, Kirti Gupta, Neeta Pandey. min Analysis of a Subthreshold 10T SRAM Bit Cell With Variation Tolerant Write and Divided Bit-Line Read
3338 -- 3348Kien Trinh Quang, Sergio Ruocco, Massimo Alioto. Time-Based Sensing for Reference-Less and Robust Read in STT-MRAM Memories
3349 -- 3361Mohammad Fardad, Sayed Masoud Sayedi, Ehsan Yazdian. A Low-Complexity Hardware for Deterministic Compressive Sensing Reconstruction
3362 -- 3375Peng Ouyang, Shouyi Yin, Leibo Liu, Youguang Zhang, Weisheng Zhao, Shaojun Wei. A Fast and Power-Efficient Hardware Architecture for Visual Feature Detection in Affine-SIFT
3376 -- 3389Veselin N. Ivanovic, Nevena R. Brnovic. Superior Execution Time Design of a Space/Spatial-Frequency Optimal Filter for Highly Nonstationary 2D FM Signal Estimation
3390 -- 3403Shiyuan Wang, Lujuan Dang, Badong Chen, Shukai Duan, Lidan Wang, Chi K. Tse. Random Fourier Filters Under Maximum Correntropy Criterion
3404 -- 3413Yuanqing Xia, Dongdong Yu, Li Li 0050, Hongjiu Yang, Wen Xie. Data-Driven Filtering for Nonlinear Systems With Bounded Noises and Quantized Measurements
3414 -- 3423Anoir Bouchami, Mohannad Elsayed, Frederic Nabki. A 1.4-mW 14-MHz MEMS Oscillator Based on a Differential Adjustable-Bandwidth Transimpedance Amplifier and Piezoelectric Disk Resonator
3424 -- 3434Ming-Huang Li, Chao-Yu Chen, Sheng-Shian Li. A Study on the Design Parameters for MEMS Oscillators Incorporating Nonlinearities
3435 -- 3444Eyal Sarfati, Binyamin Frankel, Yitzhak Birk, Shmuel Wimer. Accurate Shielded Interconnect Delay Estimation by Reconfigurable Ring Oscillator
3445 -- 3458Sanghoon Lee 0008, Congyin Shi, Jiafan Wang, Adriana C. Sanabria-Borbon, Hatem Osman, Jiang Hu, Edgar Sánchez-Sinencio. A Built-In Self-Test and In Situ Analog Circuit Optimization Platform
3459 -- 3468Rui Liu 0005, Pai-Yu Chen, Xiaochen Peng, Shimeng Yu. X-Point PUF: Exploiting Sneak Paths for a Strong Physical Unclonable Function Design
3469 -- 3480Mohamed Moner Al Chawa, Carol de Benito, Rodrigo Picos. A Simple Piecewise Model of Reset/Set Transitions in Bipolar ReRAM Memristive Devices
3481 -- 3491Xiongbo Wan, Zidong Wang, Qing-Long Han, Min Wu 0002. ∞ State Estimation for Discrete Time-Delayed Genetic Regulatory Networks Under Stochastic Communication Protocols
3492 -- 3505Yueyang Li, Hamid Reza Karimi, Maiying Zhong, Steven X. Ding, Shuai Liu. Fault Detection for Linear Discrete Time-Varying Systems With Multiplicative Noise: The Finite-Horizon Case
3506 -- 3518Ying Cui, Yurong Liu, Wenbing Zhang, Fuad E. Alsaadi. Event-Based Consensus for a Class of Nonlinear Multi-Agent Systems With Sequentially Connected Topology
3519 -- 3528Yueying Wang, Peng Shi 0001, Huaicheng Yan. Reliable Control of Fuzzy Singularly Perturbed Systems and Its Application to Electronic Circuits
3529 -- 3542Hazar Yueksel, Matthias Braendli, Andreas Burg, Giovanni Cherubini, Roy D. Cideciyan, Pier Andrea Francese, Simeon Furrer, Marcel A. Kossel, Lukas Kull, Danny Luu, Christian Menolfi, Thomas Morf, Thomas Toifl. Design Techniques for High-Speed Multi-Level Viterbi Detectors and Trellis-Coded-Modulation Decoders
3543 -- 3554N. Prasad, Indrajit Chakrabarti, Santanu Chattopadhyay. An Energy-Efficient Network-on-Chip-Based Reconfigurable Viterbi Decoder Architecture
3555 -- 3567Fang Lu, Yan Dong, Chang Wen Chen. Fully-Parallel Stochastic Decoder for Rate Compatible Modulation
3568 -- 3577Mohammadjavad Dezyani, Hassan Ghafoorifard, Samad Sheikhaei, Wouter A. Serdijn. A 60 mV Input Voltage, Process Tolerant Start-Up System for Thermoelectric Energy Harvesting
3578 -- 3591Shi Bu, Ka Nang Leung, Yan Lu 0002, Jianping Guo, Yanqi Zheng. A Fully Integrated Low-Dropout Regulator With Differentiator-Based Active Zero Compensation
3592 -- 3601Mayank Kumar 0001. Time-Domain Characterization of Digitized PWM Inverter With Dead-Time Effect

Volume 65-I, Issue 1

1 -- 2Andreas Demosthenous. New Year Editorial
3 -- 13Jeff T. Sloan, Mohamed A. K. Othman, Filippo Capolino. Theory of Double Ladder Lumped Circuits With Degenerate Band Edge
14 -- 25Wei-Han Yu, Ka-Fai Un, Pui-In Mak, Rui Paulo Martins. A 0.7-2.5 GHz, 61% EIRP System Efficiency, Four-Element MIMO TX System Exploiting Integrated Power-Relaxed Power Amplifiers and an Analog Spatial De-Interleaver
26 -- 38Zhijian Pan, Chuan Qin, Zuochang Ye, Yan Wang, Zhiping Yu. m Enhancement and Noise-Cancellation
39 -- 50Erkan Bayram, Ahmed Farouk Aref, Mohamed Saeed, Renato Negra. 1.5-3.3 GHz, 0.0077 mm2, 7 mW All-Digital Delay-Locked Loop With Dead-Zone Free Phase Detector in 0.13~µm CMOS
51 -- 60Yi Shen, Zhangming Zhu, Shubin Liu, Yintang Yang. A Reconfigurable 10-to-12-b 80-to-20-MS/s Bandwidth Scalable SAR ADC
61 -- 73Mahmoud Sadollahi, Koichi Hamashita, Kazuki Sobue, Gabor C. Temes. An 11-Bit 250-nW 10-kS/s SAR ADC With Doubled Input Range for Biomedical Applications
74 -- 83Juan Pablo Caram, Jeff Galloway, J. Stevenson Kenney. Time-to-Digital Converter With Sample-and-Hold and Quantization Noise Scrambling Using Harmonics in Ring Oscillators
84 -- 94Nan Chen, Shengyou Zhong, Mei Zou, Jiqing Zhang, Zhongshun Ji, Libin Yao. A Low-Noise CMOS Image Sensor With Digital Correlated Multiple Sampling
95 -- 106Lianxi Liu, Junchao Mu, Zhangming Zhu. A 0.55-V, 28-ppm/°C, 83-nW CMOS Sub-BGR With UltraLow Power Curvature Compensation
107 -- 117Ming-Ke Tsai, Tse-An Chen, Heng-Yu Chiu, Tse-Wei Wu, Chia-Ling Wei. Monolithic Airflow Detection Chip With Automatic DC Offset Calibration
118 -- 129Xin-Yu Shih, Hong-Ru Chou, Yue-Qu Liu. VLSI Design and Implementation of Reconfigurable 46-Mode Combined-Radix-Based FFT Hardware Architecture for 3GPP-LTE Applications
130 -- 140Indranil Hatai, Indrajit Chakrabarti, Swapna Banerjee. A Computationally Efficient Reconfigurable Constant Multiplication Architecture Based on CSD Decoded Vertical-Horizontal Common Sub-Expression Elimination Algorithm
141 -- 153Bing-Chen Wu, I-Chyn Wey. Parallel Balanced-Bit-Serial Design Technique for Ultra-Low-Voltage Circuits With Energy Saving and Area Efficiency Enhancement
154 -- 162Lijuan Li, Shuguo Li. Improved Algorithms and Implementations for Integer to τ NAF Conversion for Koblitz Curves
163 -- 174Taehui Na, Byungkyu Song, Jung Pill Kim, Seung-Hyuk Kang, Seong-Ook Jung. Data-Cell-Variation-Tolerant Dual-Mode Sensing Scheme for Deep Submicrometer STT-RAM
175 -- 184Joshua Yung Lih Low, Ching-Chuen Jong. Range Mapping - A Fresh Approach to High Accuracy Mitchell-Based Logarithmic Conversion Circuit Design
185 -- 197Grzegorz Deptuch, Farah Fahim, Pawel Grybos, Jim Hoff, Scott Holm, Piotr Maj, David Peter Siddons, Piotr Kmon, Marcel Trimpl, Tom Zimmerman. An Algorithm of an X-ray Hit Allocation to a Single Pixel in a Cluster and Its Test-Circuit Implementation
198 -- 208Li Du, Yuan Du, Yilei Li, Junjie Su, Yen-Cheng Kuan, Chun-Chen Liu, Mau-Chung Frank Chang. A Reconfigurable Streaming Deep Convolutional Neural Network Accelerator for Internet of Things
209 -- 222Sebastian Buschjäger, Katharina Morik. Decision Tree and Random Forest Implementations for Fast Filtering of Sensor Data
223 -- 234Ali Reza Hazeri, Hossein Miar Naimi. Generalized Analytical Equations for Injected Ring Oscillator With RC-Load
235 -- 246Zhongyun Hua, Yicong Zhou. One-Dimensional Nonlinear Model for Producing Chaos
247 -- 256Honorio Martín, Giorgio Di Natale, Luis Entrena. Towards a Dependable True Random Number Generator With Self-Repair Capabilities
257 -- 269ChandraKanth R. Chappidi, Kaushik Sengupta. Globally Optimal Matching Networks With Lossy Passives and Efficiency Bounds
270 -- 282Mohammed E. Fouda, Ahmed M. Eltawil, Fadi J. Kurdahi. Modeling and Analysis of Passive Switching Crossbar Arrays
283 -- 292Andreas Pedross-Engel, Hermann Schumacher, Klaus Witrisal. Modeling and Identification of Ultra-Wideband Analog Multipliers
293 -- 306Victor M. van Santen, Javier Martín-Martínez, Hussam Amrouch, Montserrat Nafría, Jörg Henkel. Reliability in Super- and Near-Threshold Computing: A Unified Model of RTN, BTI, and PV
307 -- 318Yan Wang, Kenli Li, Jun Zhang, Keqin Li 0001. Energy Optimization for Data Allocation With Hybrid SRAM+NVM SPM
319 -- 330Marko Mailand. System Analysis of Six-Port-Based RF-Receivers
331 -- 342Junyoung Song, Sewook Hwang, Hyun-Woo Lee, Chulwoo Kim. A 1-V 10-Gb/s/pin Single-Ended Transceiver With Controllable Active-Inductor-Based Driver and Adaptively Calibrated Cascaded-Equalizer for Post-LPDDR4 Interfaces
343 -- 352Razvan-Cristian Marin, Antoine Frappe, Andreas Kaiser. Digital Complex Delta-Sigma Modulators With Highly Configurable Notches for Multi-Standard Coexistence in Wireless Transmitters
353 -- 365Chaudhry Adnan Aslam, Yong Liang Guan, Kui Cai. Decision-Directed Retention-Failure Recovery With Channel Update for MLC NAND Flash Memory
366 -- 379Minbok Lee, Joonseok Yang, Myeong-Jae Park, Sung-Youb Jung, Jaeha Kim. Design and Analysis of Energy-Efficient Single-Pulse Piezoelectric Energy Harvester and Power Management IC for Battery-Free Wireless Remote Switch Applications
380 -- 393Sergio Saponara, Gabriele Ciarpi. IC Design and Measurement of an Inductorless 48 V DC/DC Converter in Low-Cost CMOS Technology Facing Harsh Environments
394 -- 405Cui Keer, Victor Adrian, Bah-Hwee Gwee, Joseph S. Chang. A Noise-Shaped Randomized Modulation for Switched-Mode DC-DC Converters
406 -- 418Wenxu Zhao, Peter Gadfort, Kirti Bhanushali, Paul D. Franzon. RF-Only Logic: an Area Efficient Logic Family for RF-Power Harvesting Applications