Wearout Resilience in NoCs Through an Aging Aware Adaptive Routing Algorithm

Dean Michael Ancajas, Kshitij Bhardwaj, Koushik Chakraborty, Sanghamitra Roy. Wearout Resilience in NoCs Through an Aging Aware Adaptive Routing Algorithm. IEEE Trans. VLSI Syst., 23(2):369-373, 2015. [doi]

Abstract

Abstract is missing.