Energy estimation and optimization of embedded VLIW processors based on instruction clustering

Andrea Bona, Mariagiovanna Sami, Donatella Sciuto, Vittorio Zaccaria, Cristina Silvano, Roberto Zafalon. Energy estimation and optimization of embedded VLIW processors based on instruction clustering. In Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002. pages 886-891, ACM, 2002. [doi]

Abstract

Abstract is missing.