Tapeout class: Taking students from schematic to silicon in one semester

David C. Burnett, Brian Kilberg, Rachel Zoll, Osama Khan, Kristofer S. J. Pister. Tapeout class: Taking students from schematic to silicon in one semester. In IEEE International Symposium on Circuits and Systems, ISCAS 2018, 27-30 May 2018, Florence, Italy. pages 1-5, IEEE, 2018. [doi]

Authors

David C. Burnett

This author has not been identified. Look up 'David C. Burnett' in Google

Brian Kilberg

This author has not been identified. Look up 'Brian Kilberg' in Google

Rachel Zoll

This author has not been identified. Look up 'Rachel Zoll' in Google

Osama Khan

This author has not been identified. Look up 'Osama Khan' in Google

Kristofer S. J. Pister

This author has not been identified. Look up 'Kristofer S. J. Pister' in Google