Tapeout class: Taking students from schematic to silicon in one semester

David C. Burnett, Brian Kilberg, Rachel Zoll, Osama Khan, Kristofer S. J. Pister. Tapeout class: Taking students from schematic to silicon in one semester. In IEEE International Symposium on Circuits and Systems, ISCAS 2018, 27-30 May 2018, Florence, Italy. pages 1-5, IEEE, 2018. [doi]

Abstract

Abstract is missing.