Aging Challenges in On-chip Voltage Regulator Design

Venkata Chaitanya Krishna Chekuri, Arvind Singh, Nael Mizanur Rahman, Edward Lee, Saibal Mukhopadhyay. Aging Challenges in On-chip Voltage Regulator Design. In 2020 IEEE International Reliability Physics Symposium, IRPS 2020, Dallas, TX, USA, April 28 - May 30, 2020. pages 1-8, IEEE, 2020. [doi]

Authors

Venkata Chaitanya Krishna Chekuri

This author has not been identified. Look up 'Venkata Chaitanya Krishna Chekuri' in Google

Arvind Singh

This author has not been identified. Look up 'Arvind Singh' in Google

Nael Mizanur Rahman

This author has not been identified. Look up 'Nael Mizanur Rahman' in Google

Edward Lee

This author has not been identified. Look up 'Edward Lee' in Google

Saibal Mukhopadhyay

This author has not been identified. Look up 'Saibal Mukhopadhyay' in Google