Aging Challenges in On-chip Voltage Regulator Design

Venkata Chaitanya Krishna Chekuri, Arvind Singh, Nael Mizanur Rahman, Edward Lee, Saibal Mukhopadhyay. Aging Challenges in On-chip Voltage Regulator Design. In 2020 IEEE International Reliability Physics Symposium, IRPS 2020, Dallas, TX, USA, April 28 - May 30, 2020. pages 1-8, IEEE, 2020. [doi]

Abstract

Abstract is missing.