Module binding for low power clock gating

Chun-Hua Cheng, Shih-Hsu Huang, Wen-Pin Tu. Module binding for low power clock gating. IEICE Electronic Express, 5(18):762-768, 2008. [doi]

Abstract

Abstract is missing.