Exploring the Relation between Monolithic 3D L1 GPU Cache Capacity and Warp Scheduling Efficiency

Cong Thuan Do, Young-Ho Gong, Cheol Hong Kim, Seon Wook Kim, Sung Woo Chung. Exploring the Relation between Monolithic 3D L1 GPU Cache Capacity and Warp Scheduling Efficiency. In 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2019, Lausanne, Switzerland, July 29-31, 2019. pages 1-6, IEEE, 2019. [doi]

Abstract

Abstract is missing.