Reliability-aware placement in SRAM-based FPGA for voltage scaling realization in the presence of process variations

Shahin Golshan, Amin Khajeh, Houman Homayoun, Eli Bozorgzadeh, Ahmed M. Eltawil, Fadi J. Kurdahi. Reliability-aware placement in SRAM-based FPGA for voltage scaling realization in the presence of process variations. In Robert P. Dick, Jan Madsen, editors, Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2011, part of ESWeek '11 Seventh Embedded Systems Week, Taipei, Taiwan, 9-14 October, 2011. pages 257-266, ACM, 2011. [doi]

Abstract

Abstract is missing.