MCXplore: Automating the Validation Process of DRAM Memory Controller Designs

Mohamed Hassan, Hiren D. Patel. MCXplore: Automating the Validation Process of DRAM Memory Controller Designs. IEEE Trans. on CAD of Integrated Circuits and Systems, 37(5):1050-1063, 2018. [doi]

Abstract

Abstract is missing.