NTPT: on the end-to-end traffic prediction in the on-chip networks

Yoshi Shih-Chieh Huang, Kaven Chun-Kai Chou, Chung-Ta King, Shau-Yin Tseng. NTPT: on the end-to-end traffic prediction in the on-chip networks. In Sachin S. Sapatnekar, editor, Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010. pages 449-452, ACM, 2010. [doi]

@inproceedings{HuangCKT10,
  title = {NTPT: on the end-to-end traffic prediction in the on-chip networks},
  author = {Yoshi Shih-Chieh Huang and Kaven Chun-Kai Chou and Chung-Ta King and Shau-Yin Tseng},
  year = {2010},
  doi = {10.1145/1837274.1837386},
  url = {http://doi.acm.org/10.1145/1837274.1837386},
  researchr = {https://researchr.org/publication/HuangCKT10},
  cites = {0},
  citedby = {0},
  pages = {449-452},
  booktitle = {Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010},
  editor = {Sachin S. Sapatnekar},
  publisher = {ACM},
  isbn = {978-1-4503-0002-5},
}