NTPT: on the end-to-end traffic prediction in the on-chip networks

Yoshi Shih-Chieh Huang, Kaven Chun-Kai Chou, Chung-Ta King, Shau-Yin Tseng. NTPT: on the end-to-end traffic prediction in the on-chip networks. In Sachin S. Sapatnekar, editor, Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010. pages 449-452, ACM, 2010. [doi]

Abstract

Abstract is missing.