A Reliable 3D MLC PCM Architecture with Resistance Drift Predictor

Majid Jalili 0001, Mohammad Arjomand, Hamid Sarbazi-Azad. A Reliable 3D MLC PCM Architecture with Resistance Drift Predictor. In 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2014, Atlanta, GA, USA, June 23-26, 2014. pages 204-215, IEEE, 2014. [doi]

Authors

Majid Jalili 0001

This author has not been identified. Look up 'Majid Jalili 0001' in Google

Mohammad Arjomand

This author has not been identified. Look up 'Mohammad Arjomand' in Google

Hamid Sarbazi-Azad

This author has not been identified. Look up 'Hamid Sarbazi-Azad' in Google