A Reliable 3D MLC PCM Architecture with Resistance Drift Predictor

Majid Jalili 0001, Mohammad Arjomand, Hamid Sarbazi-Azad. A Reliable 3D MLC PCM Architecture with Resistance Drift Predictor. In 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2014, Atlanta, GA, USA, June 23-26, 2014. pages 204-215, IEEE, 2014. [doi]

@inproceedings{JaliliAS14,
  title = {A Reliable 3D MLC PCM Architecture with Resistance Drift Predictor},
  author = {Majid Jalili 0001 and Mohammad Arjomand and Hamid Sarbazi-Azad},
  year = {2014},
  doi = {10.1109/DSN.2014.31},
  url = {http://dx.doi.org/10.1109/DSN.2014.31},
  researchr = {https://researchr.org/publication/JaliliAS14},
  cites = {0},
  citedby = {0},
  pages = {204-215},
  booktitle = {44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2014, Atlanta, GA, USA, June 23-26, 2014},
  publisher = {IEEE},
}