A Reliable 3D MLC PCM Architecture with Resistance Drift Predictor

Majid Jalili 0001, Mohammad Arjomand, Hamid Sarbazi-Azad. A Reliable 3D MLC PCM Architecture with Resistance Drift Predictor. In 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2014, Atlanta, GA, USA, June 23-26, 2014. pages 204-215, IEEE, 2014. [doi]

Possibly Related Publications

The following publications are possibly variants of this publication: