Integrated Airgap Insertion and Layer Reassignment for Circuit Timing optimization

Younggwang Jung, Daijoon Hyun, Youngsoo Shin. Integrated Airgap Insertion and Layer Reassignment for Circuit Timing optimization. In 25th Asia and South Pacific Design Automation Conference, ASP-DAC 2020, Beijing, China, January 13-16, 2020. pages 32-37, IEEE, 2020. [doi]

Authors

Younggwang Jung

This author has not been identified. Look up 'Younggwang Jung' in Google

Daijoon Hyun

This author has not been identified. Look up 'Daijoon Hyun' in Google

Youngsoo Shin

This author has not been identified. Look up 'Youngsoo Shin' in Google