Integrated Airgap Insertion and Layer Reassignment for Circuit Timing optimization

Younggwang Jung, Daijoon Hyun, Youngsoo Shin. Integrated Airgap Insertion and Layer Reassignment for Circuit Timing optimization. In 25th Asia and South Pacific Design Automation Conference, ASP-DAC 2020, Beijing, China, January 13-16, 2020. pages 32-37, IEEE, 2020. [doi]

Possibly Related Publications

The following publications are possibly variants of this publication: