A Statistical Algorithm for Power- and Timing-Limited Parametric Yield Optimization of Large Integrated Circuits

Murari Mani, Anirudh Devgan, Michael Orshansky, Yaping Zhan. A Statistical Algorithm for Power- and Timing-Limited Parametric Yield Optimization of Large Integrated Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems, 26(10):1790-1802, 2007. [doi]

@article{ManiDOZ07,
  title = {A Statistical Algorithm for Power- and Timing-Limited Parametric Yield Optimization of Large Integrated Circuits},
  author = {Murari Mani and Anirudh Devgan and Michael Orshansky and Yaping Zhan},
  year = {2007},
  doi = {10.1109/TCAD.2007.895797},
  url = {http://dx.doi.org/10.1109/TCAD.2007.895797},
  tags = {optimization},
  researchr = {https://researchr.org/publication/ManiDOZ07},
  cites = {0},
  citedby = {0},
  journal = {IEEE Trans. on CAD of Integrated Circuits and Systems},
  volume = {26},
  number = {10},
  pages = {1790-1802},
}