Top-down Physical Design of Soft Embedded FPGA Fabrics

Prashanth Mohan, Oguz Atli, Onur O. Kibar, V. Mohammed Zackriya, Larry T. Pileggi, Ken Mai. Top-down Physical Design of Soft Embedded FPGA Fabrics. In Lesley Shannon, Michael Adler, editors, FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28 - March 2, 2021. pages 1-10, ACM, 2021. [doi]

Abstract

Abstract is missing.