DarkMem: Fine-grained power management of local memories for accelerators in embedded systems

Christian Pilato, Luca P. Carloni. DarkMem: Fine-grained power management of local memories for accelerators in embedded systems. In 23rd Asia and South Pacific Design Automation Conference, ASP-DAC 2018, Jeju, Korea (South), January 22-25, 2018. pages 696-701, IEEE, 2018. [doi]

Abstract

Abstract is missing.