Fast Identification of Undetectable Transition Faults under Functional Broadside Tests

Irith Pomeranz. Fast Identification of Undetectable Transition Faults under Functional Broadside Tests. IEEE Transactions on Computers, 61(6):905-910, 2012. [doi]

Abstract

Abstract is missing.