ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-Based Chip Multiprocessors

Venkata Yaswanth Raparti, Nishit Ashok Kapadia, Sudeep Pasricha. ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-Based Chip Multiprocessors. IEEE Trans. Multi-Scale Computing Systems, 3(2):72-85, 2017. [doi]

Authors

Venkata Yaswanth Raparti

This author has not been identified. Look up 'Venkata Yaswanth Raparti' in Google

Nishit Ashok Kapadia

This author has not been identified. Look up 'Nishit Ashok Kapadia' in Google

Sudeep Pasricha

This author has not been identified. Look up 'Sudeep Pasricha' in Google