ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-Based Chip Multiprocessors

Venkata Yaswanth Raparti, Nishit Ashok Kapadia, Sudeep Pasricha. ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-Based Chip Multiprocessors. IEEE Trans. Multi-Scale Computing Systems, 3(2):72-85, 2017. [doi]

References

No references recorded for this publication.

Cited by

No citations of this publication recorded.