ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-Based Chip Multiprocessors

Venkata Yaswanth Raparti, Nishit Ashok Kapadia, Sudeep Pasricha. ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-Based Chip Multiprocessors. IEEE Trans. Multi-Scale Computing Systems, 3(2):72-85, 2017. [doi]

@article{RapartiKP17,
  title = {ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-Based Chip Multiprocessors},
  author = {Venkata Yaswanth Raparti and Nishit Ashok Kapadia and Sudeep Pasricha},
  year = {2017},
  doi = {10.1109/TMSCS.2017.2686856},
  url = {http://doi.ieeecomputersociety.org/10.1109/TMSCS.2017.2686856},
  researchr = {https://researchr.org/publication/RapartiKP17},
  cites = {0},
  citedby = {0},
  journal = {IEEE Trans. Multi-Scale Computing Systems},
  volume = {3},
  number = {2},
  pages = {72-85},
}