Effects of Nondeterminism in Hardware and Software Simulation with Thread Mapping

Giordano Salvador, Siddharth Nilakantan, Baris Taskin, Mark Hempstead, Ankit More. Effects of Nondeterminism in Hardware and Software Simulation with Thread Mapping. In 28th International Conference on VLSI Design, VLSID 2015, Bangalore, India, January 3-7, 2015. pages 129-134, IEEE Computer Society, 2015. [doi]

Abstract

Abstract is missing.