Cost Estimation for Configurable Model-Driven SoC Designs Using Machine Learning

Lorenzo Servadei, Edoardo Mosca, Keerthikumara Devarajegowda, Michael Werner, Wolfgang Ecker, Robert Wille. Cost Estimation for Configurable Model-Driven SoC Designs Using Machine Learning. In Tinoosh Mohsenin, Weisheng Zhao, Yiran Chen, Onur Mutlu, editors, GLSVLSI '20: Great Lakes Symposium on VLSI 2020, Virtual Event, China, September 7-9, 2020. pages 405-410, ACM, 2020. [doi]

@inproceedings{ServadeiMDWEW20,
  title = {Cost Estimation for Configurable Model-Driven SoC Designs Using Machine Learning},
  author = {Lorenzo Servadei and Edoardo Mosca and Keerthikumara Devarajegowda and Michael Werner and Wolfgang Ecker and Robert Wille},
  year = {2020},
  url = {https://dl.acm.org/doi/10.1145/3386263.3406950},
  researchr = {https://researchr.org/publication/ServadeiMDWEW20},
  cites = {0},
  citedby = {0},
  pages = {405-410},
  booktitle = {GLSVLSI '20: Great Lakes Symposium on VLSI 2020, Virtual Event, China, September 7-9, 2020},
  editor = {Tinoosh Mohsenin and Weisheng Zhao and Yiran Chen and Onur Mutlu},
  publisher = {ACM},
  isbn = {978-1-4503-7944-1},
}