Cost Estimation for Configurable Model-Driven SoC Designs Using Machine Learning

Lorenzo Servadei, Edoardo Mosca, Keerthikumara Devarajegowda, Michael Werner, Wolfgang Ecker, Robert Wille. Cost Estimation for Configurable Model-Driven SoC Designs Using Machine Learning. In Tinoosh Mohsenin, Weisheng Zhao, Yiran Chen, Onur Mutlu, editors, GLSVLSI '20: Great Lakes Symposium on VLSI 2020, Virtual Event, China, September 7-9, 2020. pages 405-410, ACM, 2020. [doi]

Abstract

Abstract is missing.