Challenges in Floorplanning and Macro Placement for Modern SoCs

I-Lun Tseng. Challenges in Floorplanning and Macro Placement for Modern SoCs. In Iris Hui-Ru Jiang, Gracieli Posser, editors, Proceedings of the 2024 International Symposium on Physical Design, ISPD 2024, Taipei, Taiwan, March 12-15, 2024. pages 71-72, ACM, 2024. [doi]

Abstract

Abstract is missing.