Crosstalk noise and bit error rate analysis for optical network-on-chip

Yiyuan Xie, Mahdi Nikdast, Jiang Xu, Wei Zhang, Qi Li, Xiaowen Wu, Yaoyao Ye, Xuan Wang, Weichen Liu. Crosstalk noise and bit error rate analysis for optical network-on-chip. In Sachin S. Sapatnekar, editor, Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010. pages 657-660, ACM, 2010. [doi]

Abstract

Abstract is missing.