Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation

Zhiyu Zeng, Xiaoji Ye, Zhuo Feng, Peng Li. Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation. In Sachin S. Sapatnekar, editor, Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010. pages 831-836, ACM, 2010. [doi]

Abstract

Abstract is missing.