Journal: IEEE Micro

Volume 13, Issue 6

10 -- 18Chris Halliwell. Camp development: the art of building a market through standards
19 -- 27G. Gervaise Davis III. War of the words: intellectual property laws and standardization
28 -- 35D. Linda Garcia. Standard setting in the United States: public and private sector roles
36 -- 51Shane M. Greenstein. Markets, standards, and the information infrastructure
52 -- 61Andrew Updegrove. Forming, funding, and operating standard-setting consortia
62 -- 73Richard Vickers. The development of ATM standards and technology: a retrospective
74 -- 81Burt Kaliski. A survey of encryption standards

Volume 13, Issue 5

2 -- 3Richard H. Stern. Protecting industrial property rights
12 -- 22Kunio Uchiyama, Fumio Arakawa, Susumu Narita, Hirokazu Aoki, Ikuya Kawasaki, Shigezumi Matsui, Mitsuyoshi Yamamoto, Norio Nakagawa, Ikuo Kudo. The Gmicro/500 superscalar microprocessor with branch buffers
24 -- 36Makoto Awaga, Hiromasa Takahashi. The μVP 64-bit vector coprocessor: a new implementation of high-performance numerical computation
37 -- 48Kazuo Nakamura, Narumi Sakashita, Yasuhiko Nitta, Ken'ichi Shimomura, Takeshi Tokuda. Fuzzy inference and fuzzy inference processor
49 -- 53David K. Kahaner. HDTV research in Japan
54 -- 68Michael C. Becker, Michael S. Allen, Charles R. Moore, John S. Muhich, David P. Tuttle. The Power PC 601 microprocessor
69 -- 78Uwe Kleinhans, Jörg Kaiser, Karol Czaja. Spearmints: hardware support for performance measurements in distributed systems
79 -- 89Steven W. White, Philip D. Hester, Jack W. Kemp, G. Jeanette McWilliams. How does processor MHz relate to end-user performance? II. Memory subsystem and instruction set
90 -- 92David K. Kahaner. Cooperation: Japan's new watchword? [software industry]

Volume 13, Issue 4

6 -- 7Richard H. Stern. Reverse engineering for future compatibility
8 -- 16Steven W. White, Philip D. Hester, Jack W. Kemp, G. Jeanette McWilliams. How does processor MHZ relate to end-user performance? I. Pipelines and functional units
17 -- 27Jeffrey D. Gee, Mark D. Hill, Dionisios N. Pnevmatikatos, Alan Jay Smith. Cache performance of the SPEC92 benchmark suite
28 -- 35Gordon Russell, Paul Shaw. Shifting register windows
36 -- 43Chia-Jiu Wang, Frank Emnett. Implementing precise interruptions in pipelined RISC processors
44 -- 48David K. Kahaner. India China hurdle computing obstacles [DP industry]
49 -- 51Mark Kahrs. Dream chip 1: a timed priority queue
52 -- 58A. K. Sood. On the edge-thermal resistance [ICs]
59 -- 60Stephen L. Diamond. Micro standards-building standards

Volume 13, Issue 3

4 -- 6Stephen L. Diamond. On the edge
9 -- 10John R. Mashey. "Hot" and "Cool" chips [Guest Editor's Introduction]
11 -- 21Donald B. Alpert, Dror Avnon. Architecture of the Pentium microprocessor
22 -- 35Tom Asprey, Gregory S. Averill, Eric DeLano, Russ Mason, Bill Weiner, Jeff Yetter. Performance features of the PA7100 microprocessor
36 -- 47Edward McLellan. The Alpha AXP architecture and 21064 processor
48 -- 61Anant Agarwal, John Kubiatowicz, David A. Kranz, Beng-Hong Lim, Donald Yeung, Godfrey D'Souza, Mike Parkin. Sparcle: an evolutionary processor design for large-scale multiprocessors
62 -- 72Domenico Talia. Message-routing systems for transputer-based multicomputers
73 -- 74Ware Myers. Get to market faster with FPGAs [Micro view]
75 -- 77Richard H. Stern. Micro law-glitches left in software copyright system
81 -- 83Stephen L. Diamond. Micro standards-organizing the corporate standards function
84 -- 87Richard Mateosian. Manuals and guest reviews [Micro review]
88 -- 92David K. Kahaner. Software report-completely automated assembly

Volume 13, Issue 2

10 -- 18Dennis J. Herrell. Addressing the challenges of advanced packaging and interconnection
19 -- 27David H. Carey. Trends in low-cost, high-performance substrate technology
28 -- 35Surendra Burman, Naveed A. Sherwani. Programmable multichip modules
36 -- 44Yakov Belopolsky. Interaction of multichip module substrates with high-density connectors
46 -- 58Colin A. MacKay. Amalgams for improved electronics interconnection
59 -- 65Ali R. Hurson, Patrick M. Miller. A 16-Kbit Θ-search associative memory
66 -- 73David K. Kahaner. Virtual reality in Japan
74 -- 76Richard H. Stern. A back door to protecting look and feel? [micro law]

Volume 13, Issue 1

11 -- 19Steven E. Shladover. Research and development needs for advanced vehicle control systems
20 -- 28Ulf Palmquist. Intelligent cruise control and roadside information
30 -- 48Enrico Zanoni, Paolo Pavan. Improving the reliability and safety of automotive electronics
50 -- 56Ulrich Seger, Heinz-Gerd Graf, Marc E. Landgraf. Vision assistance in scenes with extreme contrast
57 -- 66Stefan Neusser, Jos Nijhuis, Lambert Spaanenburg, Bernd Höfflinger, Uwe Franke, Hans Fritz. Neurocontrol for lateral vehicle guidance
67 -- 70David K. Kahaner. Special report: Supercomputing-the view from Japan
73 -- 75Richard H. Stern. Micro Law: a guardedly cheerful note-for a change [computer program copyright]