Journal: IEEE Trans. on Circuits and Systems

Volume 66-II, Issue 12

1927 -- 1931Moon-Chul Choi, Deog Kyoon Jeong, Sung-Yong Cho, Minkyo Shim, Byungmin Kim, Han-Gon Ko, Haram Ju, Kwanseo Park, Hyojun Kim, Kwandong Kim. A 2.5-28 Gb/s Multi-Standard Transmitter With Two-Step Time-Multiplexing Driver
1932 -- 1936Min-Seong Choo, Yeonggeun Song, Sung-Yong Cho, Han-Gon Ko, Kwanseo Park, Deog Kyoon Jeong. A 15-GHz, 17.8-mW, 213-fs Injection-Locked PLL With Maximized Injection Strength Using Adjustment of Phase Domain Response
1937 -- 1941Ritabrata Bhattacharya, Vikas Aggarwal, Ashish Gupta, Taranjit Kukal, Sankaran Aniruddhan. An 8-Channel Varactor-Less 28-GHz Front End With 7-Bit Resolution 340° RTPS for 5G RF Beamformers
1942 -- 1946Gabriel Chong, Harikrishnan Ramiah, Jun Yin, Jagadheswaran Rajendran, Wei Ru Wong, Pui-In Mak, Rui P. Martins. CMOS Cross-Coupled Differential-Drive Rectifier in Subthreshold Operation for Ambient RF Energy Harvesting - Model and Analysis
1947 -- 1951Esref Turkmen, Abdurrahman Burak, Tahsin Alper Ozkan, Yasar Gurbuz. A Tunable SiGe BiCMOS Gain-Equalizer For X-Band Phased-Array RADAR Applications
1952 -- 1956Can Çaliskan, Abdurrahman Burak, Esref Turkmen, Melik Yazici, Yasar Gurbuz. Active Positive Sloped Equalizer for X-Band SiGe BiCMOS Phased Array Applications
1957 -- 1961Leo Filippini, Baris Taskin. The Adiabatically Driven StrongARM Comparator
1962 -- 1966Yizhe Hu, Teerachot Siriburanon, Robert Bogdan Staszewski. Intuitive Understanding of Flicker Noise Reduction via Narrowing of Conduction Angle in Voltage-Biased Oscillators
1967 -- 1971Huajun Zhang, Zhichao Tan, Chao Chu, Baozhen Chen, Hongxing Li, Michael C. W. Coln, Khiem Nguyen. A 1-V 560-nW SAR ADC With 90-dB SNDR for IoT Sensing Applications
1972 -- 1976Chongsoo Jung, Dongil Lee, Yong Hun Kim, Daewoong Lee, Lee-Sup Kim. A 12 Gb/s 1.59 mW/Gb/s Input-Data-Jitter-Tolerant Injection-Type CDR With Super-Harmonic Injection-Locking in 65-nm CMOS
1977 -- 1981Andrea Ballo, Alfio Dario Grasso, Gianluca Giustolisi, Gaetano Palumbo. Optimized Charge Pump With Clock Booster for Reduced Rise Time or Silicon Area
1982 -- 1986Junyoung Song, Yongtae Kim, Chulwoo Kim. A 9 Gb/s/ch Transceiver With Reference-Less Data-Embedded Pseudo-Differential Clock Signaling for Graphics Memory Interfaces
1987 -- 1991Aijaz M. Zaidi, Binod Kumar Kanaujia, Mirza Tariq Beg, Jugul Kishor, Karumudi Rambabu. A Novel Dual-Band Branch Line Coupler for Dual-Band Butler Matrix
1992 -- 1996Cheng-Kang Ho, Hao-Yu Cheng, Yuan-Hao Huang. Hybrid Precoding Processor for Millimeter Wave MIMO Communications
1997 -- 2001Ang Hu, Dongsheng Liu, Kefeng Zhang. A 0.03- to 3.6-GHz Frequency Synthesizer With Self-Biased VCO and Quadrature-Input Quadrature-Output Frequency Divider
2002 -- 2006Yalu Li, Haitao Li 0001, Shuling Wang. Constrained Sampled-Data Reachability and Stabilization of Logical Control Networks
2007 -- 2011Oscar Danilo Montoya, Walter Julián Gil-González, Alejandro Garcés. Control for EESS in Three-Phase Microgrids Under Time-Domain Reference Frame via PBC Theory
2012 -- 2016Seok-Kyoon Kim, Choon Ki Ahn. Auto-Tuner-Based Controller for Quadcopter Attitude Tracking Applications
2017 -- 2021Sheng-Li Du, Hamid Reza Karimi, Junfei Qiao, Di Wu, Chao Feng. Stability Analysis for a Class of Discrete-Time Switched Systems With Partial Unstable Subsystems
2022 -- 2026V. Karthikeyan 0002, Kumaravel Sundaramoorthy, G. Gurukumar. High Step-Up Gain DC-DC Converter With Switched Capacitor and Regenerative Boost Configuration for Solar PV Applications
2027 -- 2031Mahmoud Dhimish. 70% Decrease of Hot-Spotted Photovoltaic Modules Output Power Loss Using Novel MPPT Algorithm
2032 -- 2036Oscar Danilo Montoya. On Linear Analysis of the Power Flow Equations for DC and AC Grids With CPLs
2037 -- 2041Dongdong Peng, Meng Huang, Jinhua Li, Jianjun Sun, Xiaoming Zha, Chaoliang Wang. Large-Signal Stability Criterion for Parallel-Connected DC-DC Converters With Current Source Equivalence
2042 -- 2046Robert Giterman, Andrea Bonetti, Andreas Burg, Adam Teman. GC-eDRAM With Body-Bias Compensated Readout and Error Detection in 28-nm FD-SOI
2047 -- 2051Ghasem Pasandi, Raghav Mehta, Massoud Pedram, Shahin Nazarian. Hybrid Cell Assignment and Sizing for Power, Area, Delay-Product Optimization of SRAM Arrays
2052 -- 2056Bingyi Zhang, Jun Han 0003, Zhize Huang, Jianwei Yang, Xiaoyang Zeng. A Real-Time and Hardware-Efficient Processor for Skeleton-Based Action Recognition With Lightweight Convolutional Neural Network
2057 -- 2061Sally Ahmed, Saad Ilyas, Xuecui Zou, Nizar Jaber, Mohammad I. Younis, Hossein Fariborzi. A Compact Adder and Reprogrammable Logic Gate Using Micro-Electromechanical Resonators With Partial Electrodes
2062 -- 2066Faqiang Wang, Riming Wang, Herbert H. C. Iu, Chongxin Liu, Tyrone Fernando. A Novel Multi-Shape Chaotic Attractor and Its FPGA Implementation
2067 -- 2071Alessandro Tontini, Leonardo Gasparini, Nicola Massari, Roberto Passerone. t-Order Rank Algorithm on FPGA
2072 -- 2076Jinpeng Song, Shulin Tian, Yu Hen Hu, Peng Ye. Digital Iterative Harmonic Rejection and Image Cancellation for LPF-Less Frequency-Interleaved Analog-to-Digital Converters
2077 -- 2081Mohammad Shams Esfand Abadi, Mohammad Javad Ahmadi. Weighted Improved Multiband-Structured Sub-Band Adaptive Filter Algorithms
2082 -- 2086Kang Wei, Jun Li 0004, Lingjun Kong, Feng Shu 0002, Francis C. M. Lau 0002. Page-Based Dynamic Partitioning Scheduling for LDPC Decoding in MLC NAND Flash Memory
2087 -- 2091Pucha Song, Haiquan Zhao. Affine-Projection-Like M-Estimate Adaptive Filter for Robust Filtering in Impulse Noise