SmartScan - Hierarchical test compression for pin-limited low power designs

Krishna Chakravadhanula, Vivek Chickermane, D. Pearl, A. Garg, R. Khurana, S. Mukherjee, P. Nagaraj. SmartScan - Hierarchical test compression for pin-limited low power designs. In 2013 IEEE International Test Conference, ITC 2013, Anaheim, CA, USA, September 6-13, 2013. pages 1-9, IEEE Computer Society, 2013. [doi]

Authors

Krishna Chakravadhanula

This author has not been identified. Look up 'Krishna Chakravadhanula' in Google

Vivek Chickermane

This author has not been identified. Look up 'Vivek Chickermane' in Google

D. Pearl

This author has not been identified. Look up 'D. Pearl' in Google

A. Garg

This author has not been identified. Look up 'A. Garg' in Google

R. Khurana

This author has not been identified. Look up 'R. Khurana' in Google

S. Mukherjee

This author has not been identified. Look up 'S. Mukherjee' in Google

P. Nagaraj

This author has not been identified. Look up 'P. Nagaraj' in Google