SmartScan - Hierarchical test compression for pin-limited low power designs

Krishna Chakravadhanula, Vivek Chickermane, D. Pearl, A. Garg, R. Khurana, S. Mukherjee, P. Nagaraj. SmartScan - Hierarchical test compression for pin-limited low power designs. In 2013 IEEE International Test Conference, ITC 2013, Anaheim, CA, USA, September 6-13, 2013. pages 1-9, IEEE Computer Society, 2013. [doi]

@inproceedings{ChakravadhanulaCPGKMN13,
  title = {SmartScan - Hierarchical test compression for pin-limited low power designs},
  author = {Krishna Chakravadhanula and Vivek Chickermane and D. Pearl and A. Garg and R. Khurana and S. Mukherjee and P. Nagaraj},
  year = {2013},
  doi = {10.1109/TEST.2013.6651897},
  url = {http://doi.ieeecomputersociety.org/10.1109/TEST.2013.6651897},
  researchr = {https://researchr.org/publication/ChakravadhanulaCPGKMN13},
  cites = {0},
  citedby = {0},
  pages = {1-9},
  booktitle = {2013 IEEE International Test Conference, ITC 2013, Anaheim, CA, USA, September 6-13, 2013},
  publisher = {IEEE Computer Society},
}