SmartScan - Hierarchical test compression for pin-limited low power designs

Krishna Chakravadhanula, Vivek Chickermane, D. Pearl, A. Garg, R. Khurana, S. Mukherjee, P. Nagaraj. SmartScan - Hierarchical test compression for pin-limited low power designs. In 2013 IEEE International Test Conference, ITC 2013, Anaheim, CA, USA, September 6-13, 2013. pages 1-9, IEEE Computer Society, 2013. [doi]

No reviews for this publication, yet.