CACTI-FinFET: an integrated delay and power modeling framework for FinFET-based caches under process variations

Chun-Yi Lee, Niraj K. Jha. CACTI-FinFET: an integrated delay and power modeling framework for FinFET-based caches under process variations. In Leon Stok, Nikil D. Dutt, Soha Hassoun, editors, Proceedings of the 48th Design Automation Conference, DAC 2011, San Diego, California, USA, June 5-10, 2011. pages 866-871, ACM, 2011. [doi]

Abstract

Abstract is missing.